Part Number Hot Search : 
ATMEGA32 MA4EX SAB80 SMCB34 FPLD52TE NTE7029 BD45E281 1N451A
Product Description
Full Text Search
 

To Download R7F0C015 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  user's manual 16 r01uh0453cj0210 R7F0C01592esn r7f0c01692esn ?? ?? rev.2.10 2014.10 ??????????? ????? ?????? 16 ??? ????
notice notice 1. descriptions of circuits, software and other related information in this document are provided only to illustrate the operation of semiconductor products and application examples. you are fully responsible for the incorporation of these circuits, software, and information in the design of your equipment. renesas electronics assumes no responsibility for any losses incurred by you or third parties arising from the use of these circuits, software, or information. 2. renesas electronics has used reasonable care in preparing the information included in this document, but renesas electronics does not warrant that such information is error free. renesas electronics assumes no liability whatsoever for any damages incurred by you resulting from errors in or omissions from the information included herein. 3. renesas electronics does not assume any liability for infringement of patents, copyrights, or other intellectual property ri ghts of third parties by or arising from the use of renesas electronics products or technical information described in this document. no license, express, implied or otherwise, is granted hereby under any patents, copyrights or other intellectual property rights o f renesas electronic s or others. 4. you should not alter, modify, copy, or otherwise misappropriate any renesas electronics product, whether in whole or in part . renesas electronics assumes no responsibility for any losses incurred by you or third parties arising from such alteration, modification, copy or otherwise misappropriation of renesas electronics product. 5. renesas electronics products are classified according to the following two quality grades: ?standard? and ?high quality?. t he recommended applications for each renesas electronics product depends on the product?s quality grade, as indicated below. ?standard?: computers; office equipment; communications equipment; test and measurement equipment; audio and visual equipment; home electronic appliances; machine tools; personal electronic equipment; and industrial robots etc. ?high quality?: transportation e quipment (automobiles, trains, ships, etc.); traffi c control systems; an ti-disaster systems; an ti- crime systems; and safety equipment etc. renesas electronics products are neither intended nor authorized for use in products or systems that may pose a direct threat t o human life or bodily injury (artificial life support devices or systems, surgical implantations etc.), or may cause serious pro perty damages (nuclear reactor control systems, military equipment etc.). you must check the quality grade of each renesas electronics product before using it in a particular application. you may not use any renesas electronics product for any application for which it is not intended. renesas electronics shall not be in any way liable for any damages or losses incurre d by you or third parties arising from the use of any renesas electronics product for which the product is not intended by renesa s electronics. 6. you should use the renesas electronics products described in this document within the range specified by renesas electronics , especially with respect to the maximum rating, operating supply voltage range, movement power voltage range, heat radiation characteristics, installation and other product characteristics. renesas electronics shall have no liability for malfunctions or damages arising out of the use of renesas electronics products beyond such specified ranges. 7. although renesas electronics endeavors to improve the quality and reliability of its products, semiconductor products have specific characteristics such as the occurrence of failure at a certain rate and malfunctions under certain use conditions. fu rther, renesas electronics products are not subject to radiation resistance design. please be sure to implement safety measures to guard them against the possibility of physical injury, and injury or damage caused by fire in the event of the failure of a ren esas electronics product, such as safety design for hardware and software including but not limited to redundancy, fire control and malfunction prevention, appropriate treatment for aging degradation or any other appropriate measures. because the evaluation of microcomputer software alone is very difficult, please evaluate the safety of the final products or systems manufactured by you. 8. please contact a renesas electronics sales office for deta ils as to environmental matters such as the environmental compatib ility of each renesas electronics product. please use renesas electronics products in compliance with all applicable laws and regulations that regulate the inclusion or use of controlled substances, including without limitation, the eu rohs directive. renesas electronics a ssumes no liability for damages or losses occurring as a result of your noncompliance with applicable laws and regulations. 9. renesas electronics products and technology may not be used for or incorporated into any products or systems whose manufacture, use, or sale is prohibited under any applicable domestic or foreign laws or regulations. you should not use renesas electronics products or technology described in this document for any purpose relating to military applications or use by the military, including but not limited to the development of weapons of mass destruction. when exporting the renesas electronics products or technology described in this document, you should comply with the applicable export control laws and regulations and follow the procedures required by such laws and regulations. 10. it is the responsibility of the buyer or distributor of renesas electronics products, who distributes, disposes of, or othe rwise places the product with a third party, to notify such third party in advance of the contents and conditions set forth in this document, renesas electronics assumes no responsibility for any losses incurred by you or third parties as a result of unauthorized use of renesas electronics products. 11. this document may not be reproduced or duplicated in any form, in whole or in part, without prior written consent of renesa s electronics. 12. please contact a renesas electronics sales office if you have any questions regarding the information contained in this doc ument or renesas electronics products, or if you have any other inquiries. (note 1) ?renesas electronics? as used in this document means renesas electronics corporation and also includes its majority- owned subsidiaries. (note 2) ?renesas electronics product(s)? means any product developed or manufactured by or for renesas electronics. (2012.4)
1?"??_nm8?a s05?a,x[?0 ?5a[8?[(,x1rwlfhky!7?o? "??_nm 1. [7a?eq,xgb+ c??ec
`ja,gc?*baa e'{
?,x?0
`h*r_?* v a??a?auh *[7,x+ c??ec
`,gca?7?> bb?b* 1y? s*te?+ c??ecc5fa ?,x?)3 *29+ $s??)b?? 2. ??[7a?eq,xc,xe?/?*29+ $?g. )"??*29+ $ja?e-ocf??.b a?,x?* [7a?eq,xc,xja?f+$?5fa ?,x?)3*29+ $s??)b?? 3. b s*[7,x*29+ ${
?tc5e??,x?>  !85?(?1y?,x?y?(ja-1a?{ ,x> *29+ $s??)b??[7a?eq,xy?h??*29+ $ja?y,x?y?(ja-1a? {0??)a/?t?/jw??,xa? ? ?\? 4. * k ?a ?
51ja??f???b* 1y? te?  ?a ?
1ja??m2"?s**29+ ${
?,x> 5fa ?,x?)3*29+ $s??)b?? 5. *29+ ${
? bjbg14{?t14{???14{
`p?bg14{?!/?*29+ ${
?,x|9$*e  a? b{
?,xbg14{v?/? ??14{? au1k?r@ a???e?a?a???#a?
`#ga?????
a????*+ <??1k?t?+ $a??1 ? 1? <?1? p?bg14{? eega???"qe:?&?e:?eb8 1??xe?{
2?43?l&r2?43?nxl(?5>2?431 ?]??*bt>\*b ?6??2o*
qe??,y?6?,x{
?2?43 ? ?6?e???d??,x{
?2?43 ??1*
q4?>?5b2?43? ?b'y,x>?5b1?5 ?6?e??g??b?{3,x{
?2?43? ?h {
2? 43?o*a??1?? !/?*29+ ${
?*b/?(mnh*!* h.baxjbg14{?k*29+ ${
?*bcy?ja?au*e,x?)h*?b* 1y? *29+ ${
?*bja?au*e5fa ?,x?)3? 3*29+ $s??)b?? 6. s*[7a?eq,x*29+ ${
?h *29+ $?n,x8 y(m? ??nqn?+ $d10+ _8 ?/?| + $d+ _8 ?&ed?(m??]>?51 ?ja{
?(m?,x8 ys*?b te??n8 s**29+ ${
? 5{*,xlp3*29+ $s??)b?? 7. *29+ $?,7obp?*29+ ${
?,xbg
` ?m4? e'{
?yj7?d,xk'(m?v?n,xlp *)[1 ? os*5?? *lp1?!8*29+ ${
? te> led?a?au?1a?g? a]<x~? 1fs!'*29+ ${
? *lp5e??&?&re7?d_???3?,x_?_ve> ec.@]1 ?lpnxl1??e?',x5??)jae?',x~?1?+bl?b?ec )(e > a?1a?* 7?> ?4?{
?2?43e> ]?,x)?wp??1a?a*29+ $,x9?f?k?6(2??s**29+ ${
?a?fi\1u
(=b,xs* ?ge> 1u),xy,h"?_"????l$b ?,3 rohs ???? b * tfi\,h"?_"???5e7,x3?3*29+ $s??)b?? 9.  ?*29+ ${
?
`t*b5 91 ?y#k,h,x"?_"???/u!6*{?s* ?j? ,x?){
?2?43 ?3 ?[7a?eq,x*29+ ${
?t*bao_h*5o_*eyg,x?),a,x?v??????!: <,x? 1?? [7a?eq,x*29+ ${
?te> ? h'fi\,h,x? 1u
"?_"???jy';t e?"?_"?????n,x/?ce> ? 10. ?1y?j??{
?51ja??{
?5bb1y?{
?,x*29+ ${
?d?j? yb?_ ?t e?1y?e?-1[7??n,xy?
`5b* 1y? m2"?s**29+ ${
?5fa ?,x?)3*29+ $ s??)b?? 11. _tk*29+ $:m6ax ?,x???k1?)6?f?[7? 12. vp[7a?eq,xc*29+ ${
?y?)+eka5* y?)ja+ekaa? ?*29+ $,x9?f?k?
|a?? ?"? 1 ? *29+ $? [7?*29+ $t??/ ?j{6u$@ ? ?"? 2 ? *29+ ${
???*29+ $? *{,x?){
?? ? 2012 h 4 ?
cmos ? ???? ?????1???? cmos ? v il max v ih min ???????? ? v il max v ih min ???? ???? cmos ??????? ???1? cmos ???? nmos ? cmos ????????????? ?????? v dd gnd ???? ???? esd ? mos ?T?????? ????????? ?????^????? ??????????y???? ??y???????? pw ??? ??? ????? ?? mos ?????????? mos ?? ?????? / ?????? ?????? ?? / ? ???????????????? ?????????????????? ???????????? ????? / ? ????? ????? / ????? / ? ????????? ????????? cmos ?
?? ? ? R7F0C015 r7f0c016 ??????????? ? ???1????? R7F0C015 r7f0c016 ????? rl78 ? 2 ? ? ????????? ? ??? ?????? ? ??? ? ?????? #pragma sfr ??? sfr ? ??? R7F0C015 r7f0c016 ???? ? rl78 ??? r01us0015e R7F0C01592esn r7f0c01692esn ?? ?? rl78 ?? ? ? ? ? ? ? ? ? ? ?cpu ? ?? ? ??
??? ??? ???? xxx ???? ? ?? ? ????? ? ??? ??? ......xxxx xxxxb ? ......xxxx ? ......xxxxh ??? ? ? ? ?? ? ( ?? ) ? ????????? ? R7F0C01592esn R7F0C01592esn ???? ? rl78 ???? r01us0015e ? pg-fp5 ?? r20ut0008e
? ? ???? ? ? (http://www.renesas.com/products/package/manual/index.jsp) ? ????????? ? ???? r01cs0001e ???? ? ? (esd) ???? c11892e ?????? ?????????
?? - 1 ?? 1 ? .............................................................................................................................. .................... 1 1.1 ? ............................................................................................................................... ....................................... 1 1.2 ??? ............................................................................................................................... ................... 2 1.3 ? top view ............................................................................................................................... ... 3 1.4 ............................................................................................................................... ................................... 4 1.5 ? ............................................................................................................................... ....................................... 5 1.6 ?? ............................................................................................................................... ............................... 6 2 ? .............................................................................................................................. ............ 8 2.1 ?? ............................................................................................................................... ............................... 8 2.2 ?? ............................................................................................................................... ................. 11 2.3 ??? ............................................................................................................................... ................. 13 2.3.1 p00 p01 port 0 .............................................................................................................................. .13 2.3.2 p10 p16 port 1 .............................................................................................................................. 14 2.3.3 p20 p23 port 2 .............................................................................................................................. 15 2.3.4 p30 p31 port 3 .............................................................................................................................. .16 2.3.5 p40 port 4 .............................................................................................................................. ............ 17 2.3.6 p50 p51 port 5 .............................................................................................................................. .18 2.3.7 p60 p61 port 6 .............................................................................................................................. .19 2.3.8 p 120 p122 port 12 ........................................................................................................................ 19 2.3.9 p137 port 13 .............................................................................................................................. ........ 20 2.3.10 vdd vss ........................................................................................................................... .................. 20 2.3.11 reset .............................................................................................................................. ........................ 20 2.3.12 regc .................................................................................................................... ................................... 20 2.4 ??? ............................................................................................................................... ............. 21 3 cpu ?? .............................................................................................................................. .. 22 3.1 ? ............................................................................................................................... ............................. 22 3.1.1 ?? .............................................................................................................................. .... 26 3.1.2 .............................................................................................................................. ........................ 29 3.1.3 ??? .............................................................................................................................. .... 31 3.1.4 ??? sfr special function register ............................................................ 31 3.1.5 ???? 2nd sfr 2nd special function register ...................................... 31 3.1.6 ??? .............................................................................................................................. .... 31 3.2 ?? ............................................................................................................................... ................. 34 3.2.1 ?? .............................................................................................................................. ................ 34 3.2.2 ?? .............................................................................................................................. ................ 37 3.2.3 es ? cs ? ......................................................................................................................... 38 3.2.4 ??? sfr special function register ......................................................................... 39 3.2.5 ???? 2nd sfr 2nd special function register ................................................... 43 3.3 ???? ............................................................................................................................... ................. 50 3.3.1 ?? .............................................................................................................................. .................... 50 3.3.2 ?? .............................................................................................................................. .................... 50 3.3.3 ?? .............................................................................................................................. ................ 51 3.3.4 ???? .............................................................................................................................. ........ 51 3.4 ???? . .. ............................................................................................................................ ......... 52 3.4.1 ?? .............................................................................................................................. .................... 52 3.4.2 ??? .............................................................................................................................. ................ 52 3.4.3 ??? .............................................................................................................................. .................... 53
?? - 2 3.4.4 ??? .............................................................................................................................. ................ 54 3.4.5 sfr ?? .............................................................................................................................. .................... 55 3.4.6 ??? .............................................................................................................................. ........ 56 3.4.7 ??? .............................................................................................................................. .................... 57 3.4.8 ???? .............................................................................................................................. ............ 61 3.4.9 ??? .............................................................................................................................. .................... 62 4 ?? .............................................................................................................................. .......... 66 4.1 ?? ............................................................................................................................... ............................. 66 4.2 ??? ............................................................................................................................... ............................. 66 4.2.1 ? 0 ............................................................................................................................. .......................... 67 4.2.2 ? 1 ............................................................................................................................. .......................... 70 4.2.3 ? 2 ............................................................................................................................. .......................... 79 4.2.4 ? 3 ............................................................................................................................. .......................... 81 4.2.5 ? 4 ............................................................................................................................. .......................... 84 4.2.6 ? 5 ............................................................................................................................. .......................... 86 4.2.7 ? 6 ............................................................................................................................. .......................... 89 4.2.8 ? 12 ............................................................................................................................ ......................... 91 4.2.9 ? 13 ............................................................................................................................ ......................... 94 4.3 ?????? ............................................................................................................................... ..... 95 4.3.1 ???? pmxx .................................................................................................................... 98 4.3.2 ??? pxx .............................................................................................................................. .. 99 4.3.3 ?? puxx ........................................................................................................... 100 4.3.4 ???? pimxx ......................................................................................................... 101 4.3.5 ???? pomxx .. ... .................................................................................................. 102 4.3.6 ????? 0 12 pmcxx ........................................................................................... 103 4.3.7 a/d ?? adpc ......................................................................................................... 104 4.3.8 i/o ?? 1 pior1 .................................................................................................. 105 4.4 ??? ............................................................................................................................... ............... 106 4.4.1 / ?? ..................................................................................................................... 106 4.4.2 / ??? ..................................................................................................................... 106 4.4.3 / ?? ......................................................................................................................... 106 4.4.4 ? / ?? 2.5v 3v ?? ...................................................... 107 4.5 ???????? .................................................................................................. 109 4.6 ?????? .......................................................................................................................... 113 4.6.1 ????? n pn ??? ........................................................ 113 4.6.2 ?? .................................................................................................................... 114 5 ?? .............................................................................................................................. .115 5.1 ??? ............................................................................................................................... ....... 115 5.2 ???? ............................................................................................................................... ....... 117 5.3 ???? .......................................................................................................................... 119 5.3.1 ????? cmc ................................................................................................... 120 5.3.2 ?????? ckc ............................................................................................................ 121 5.3.3 ????? csc .................................................................................................... 122 5.3.4 ?? ??? ostc .................................................................................. 123 5.3.5 ???? osts .................................................................................................. 125 5.3.6 ? 0 1 per0 per1 .......................................................................................... 126 5.3.7 ????? osmc ................................................................................................ 128 5.3.8 ???? hocodiv ......................................................................... 129 5.3.9 ??? hiotrm .................................................................................... 130 5.4 ????? ............................................................................................................................... ........... 131
?? - 3 5.4.1 x1 ?? .............................................................................................................................. ............ 131 5.4.2 ? .............................................................................................................................. ...... 134 5.4.3 ? .............................................................................................................................. ...... 134 5.5 ?? ............................................................................................................................... ....... 134 5.6 ?? ............................................................................................................................... ........................... 136 5.6.1 ?? ................................................................................................................ 136 5.6.2 x1 ??? ...................................................................................................................... 138 5.6.3 cpu ?????? ....................................................................................................................... 139 5.6.4 cpu ?????? ........................................................................................... 143 5.6.5 cpu ??????? ...................................................................................... 144 5.6.6 ???? ........................................................................................................................ 144 5.7 ?? ............................................................................................................................... ... 145 6 ?? ............................................................................................................................ 14 7 6.1 ??? ............................................................................................................................... ... 148 6.1.1 ? .............................................................................................................................. .. 148 6.1.2 ? ............................................................................................................................ 14 9 6.1.3 8 ? ?? 1 ? 3 .............................................................................. 150 6.2 ???? ............................................................................................................................... ... 151 6.2.1 ?? mn tcrmn ..................................................................................................... 155 6.2.2 ??? mn tdrmn ..................................................................................................... 157 6.3 ????? ...................................................................................................................... 158 6.3.1 ? 0 per0 ............................................................................................................... 159 6.3.2 ???? m tps m ... ............................................................................................... 160 6.3.3 ???? mn tmrmn .................................................................................................... 163 6.3.4 ???? mn tsrmn ..................................................................................................... 168 6.3.5 ????? m tem ............................................................................................ 169 6.3.6 ???? m tsm .................................................................................................... 170 6.3.7 ????? m ttm .................................................................................................... 171 6.3.8 ??? 0 tis0 ..................................................................................................... 172 6.3.9 ?? m toem ................................................................................................. 173 6.3.10 ?? m tom ........................................................................................................... 174 6.3.11 ??? m tolm ................................................................................................. 175 6.3.12 ???? m tomm ................................................................................................ 176 6.3.13 ?? 1 nfen1 ................................................................................................ 177 6.3.14 ???? 0 1 3 pm0 pm1 pm3 ......................................................................... 178 6.4 ??? .......................................................................................................................... 179 6.4.1 ??? ........................................................................................................ 179 6.4.2 8 ??? ?? 1 ? 3 .......................................................... 181 6.5 ............................................................................................................................... ................... 182 6.5.1 ? ftclk ............................................................................................................................ 18 2 6.5.2 ??? .............................................................................................................................. .. 184 6.5.3 .............................................................................................................................. .......... 185 6.6 ? tomn ?? .................................................................................................................. 190 6.6.1 tomn ?? ................................................................................................................ 190 6.6.2 tomn ?? ........................................................................................................................ 191 6.6.3 ?? .................................................................................................................... 192 6.6.4 tomn ?? ........................................................................................................................ 196 6.6.5 ?????? tomn ........................................................................... 197 6.7 ? timn ? ........................................................................................................................ 198 6.7.1 timn ?? .................................................................................................................. 198 6.7.2 ? .............................................................................................................................. .............. 198
?? - 4 6.7.3 ??? ................................................................................................................ 199 6.8 ???? .......................................................................................................... 200 6.8.1 ?? / ..................................................................................................... 200 6.8.2 ??? ................................................................................................................ 205 6.8.3 ?? ??? 0 ? 0 ................................................................................. 209 6.8.4 ? ............................................................................................................ 213 6.8.5 ??????? ............................................................................................ 217 6.8.6 ??? ........................................................................................................................ 221 6.9 ???? ...................................................................................................... 225 6.9.1 ?? ........................................................................................................ 225 6.9.2 ? pwm ? ........................................................................................................................ 232 6.9.3 ? pwm ? ........................................................................................................ 239 6.10 ?????? .............................................................................................................. 247 6.10.1 ????? ............................................................................................................ 247 7 ?? rj ............................................................................................................................ ......... 248 7.1 ? ............................................................................................................................... ................................... 248 7.2 ? rj ?? ............................................................................................................................... ............. 249 7.3 ?? ............................................................................................................................... ................... 250 7.3.1 ? 1 per1 ............................................................................................................... 251 7.3.2 ????? osmc ................................................................................................ 252 7.3.3 ? rj ? 0 trj0 ...................................................................................................... 253 7.3.4 ? rj ?? 0 trjcr0 .. ............................................................................................... 254 7.3.5 ? rj i/o ?? 0 trjioc0 ......................................................................................... 255 7.3.6 ? rj ?? 0 trjmr0 ................................................................................................ 257 7.3.7 ? rj ??? 0 trjisr0 ................................................................................ 258 7.3.8 ???? 0 3 4 5 pm0 pm3 pm4 pm5 ...................................................... 259 7.4 ? ............................................................................................................................... ........................... 260 7.4.1 ????? ............................................................................................................ 260 7.4.2 ??? .............................................................................................................................. .............. 261 7.4.3 ?? .............................................................................................................................. .......... 262 7.4.4 ??? .............................................................................................................................. ...... 263 7.4.5 ?? .............................................................................................................................. .......... 264 7.4.6 ??? .............................................................................................................................. .. 265 7.4.7 ?? elc ................................................................................................... 266 7.4.8 ??? .............................................................................................................................. .. 266 7.5 ??? rj ?? ........................................................................................................................ 267 7.5.1 ???? ........................................................................................................................ 267 7.5.2 ??? trjcr0 ? tedgf tundf ........................................................... 267 7.5.3 ? ?? .............................................................................................................................. .. 267 7.5.4 ??? .............................................................................................................................. .............. 267 7.5.5 trjo0 ? trjio0 ?? ............................................................................................ 268 7.5.6 ??? rj ...................................................................................................................... 268 7.5.7 ? rj ???? .................................................................................................................. 268 7.5.8 stop ?? ???? .................................................................................... 268 7.5.9 stop ?? ????? .................................................................... 269 7.5.10 ? tstop ????? .................................................................................................. 269 7.5.11 ? .............................................................................................................................. .............. 269 7.5.12 ? fil ?? ................................................................................................................. 269 8 ?? rd ............................................................................................................................ ........ 270 8.1 ? rd ? ............................................................................................................................... ........... 270
?? - 5 8.2 ? rd ?? ............................................................................................................................... ........... 271 8.3 ?? ............................................................................................................................... ................... 272 8.3.1 ? 1 per1 ............................................................................................................... 273 8.3.2 ? rd elc ? trdelc ................................................................................................ 274 8.3.3 ? rd ? trdstr ................................................................................................. 275 8.3.4 ? rd ??? trdmr .................................................................................................. 276 8.3.5 ? rd pwm ?? trdpmr ............................................................................. 277 8.3.6 ? rd ??? trdfcr ......................................................................................... 278 8.3.7 ? rd ? 1 trdoer1 ............................................................................... 279 8.3.8 ? rd ? 2 trdoer2 ............................................................................... 280 8.3.9 ? rd ?? trdocr ........................................................................................ 281 8.3.10 ? rd ??? i trddfi i=0 1 ................................................. 283 8.3.11 ? rd ?? i trdcri i=0 1 . ........................................................................... 285 8.3.12 ? rd i/o ?? ai trdiorai i=0 1 ............................................................... 289 8.3.13 ? rd i/o ?? ci trdiorci i=0 1 ............................................................... 291 8.3.14 ? rd ??? 0 trdsr0 .............................................................................................. 293 8.3.15 ? rd ??? 1 trdsr1 .............................................................................................. 297 8.3.16 ? rd ? i trdieri i=0 1 ................................................................... 301 8.3.17 ? rd pwm ??? i trdpocri i=0 1 ..................................... 302 8.3.18 ? rd i trdi i=0 1 .......................................................................................... 303 8.3.19 ? rd ?? ai bi ci di trdgrai trdgrbi trdgrc i trdgrdi i=0 1 ... ............................................... 305 8.3.20 ???? 1 pm1 ................................................................................................................. 312 8.4 ????? .............................................................................................................................. 313 8.4.1 ? .............................................................................................................................. ...................... 313 8.4.2 .............................................................................................................................. .............. 314 8.4.3 ? .............................................................................................................................. .................. 317 8.4.4 ??? ............................................................................................................................ 31 8 8.4.5 ?? elc ? ........................................................................................... 320 8.4.6 ?? elc ? ........................................................................................... 320 8.4.7 ?? .............................................................................................................................. .......... 321 8.4.8 ?? .............................................................................................................................. .......... 325 8.4.9 pwm .............................................................................................................................. ............... 330 8.4.10 ? pwm ?? ............................................................................................................................ 33 4 8.4.11 pwm ?? .............................................................................................................................. ...... 337 8.5 ? rd ............................................................................................................................... ............... 342 8.6 ??? rd ?? ...................................................................................................................... 344 8.6.1 sfr ?? .............................................................................................................................. ...... 344 8.6.2 ?? .............................................................................................................................. .............. 345 8.6.3 ? .............................................................................................................................. ...................... 345 8.6.4 ?? .............................................................................................................................. .......... 345 8.6.5 trdioa1 trdiobi trd i oci trdiodi ?? i=0 1 .............................. 346 8.6.6 ? pwm ?? ............................................................................................................................ 34 6 8.6.7 pwm ?? .............................................................................................................................. ...... 347 9 12 ? .......................................................................................................................... 351 9.1 12 ?? ............................................................................................................................... .. 351 9.2 12 ??? ............................................................................................................................... .. 351 9.3 12 ??? .................................................................................................................... 352 9.3.1 ? 1 per1 ............................................................................................................... 352 9.3.2 ????? osmc ................................................................................................ 353 9.3.3 12 ???? itmc ......................................................................................... 354
?? - 6 9.4 12 ? ............................................................................................................................... .. 355 9.4.1 12 ?? ............................................................................................................... 355 9.4.2 halt/stop ????? halt/stop ??? ................. 356 10 ? / ? ................................................................................................... 357 10.1 ? / ?? ....................................................................................................... 357 10.2 ? / ??? ....................................................................................................... 358 10.3 ? / ??? ........................................................................................... 358 10.3.1 ??? n cksn ....................................................................................................... 358 10.3.2 ? / ?????? ......................................................................... 360 10.4 ? / ? ....................................................................................................... 361 10.4.1 ? .............................................................................................................................. ...... 361 10.5 ? / ?? ............................................................................................... 361 11 ?? .............................................................................................................................. .362 11.1 ??? ............................................................................................................................... ....... 362 11.2 ???? ............................................................................................................................... ....... 363 11.3 ????? ......................................................................................................................... 364 11.3.1 ??? wdte ............................................................................................ 364 11.4 ?? ............................................................................................................................... ....... 365 11.4.1 ?? .................................................................................................................... 365 11.4.2 ???? ............................................................................................................ 366 11.4.3 ????? .................................................................................................... 367 11.4.4 ???? ............................................................................................................ 368 12 a/d ? .............................................................................................................................. ..... 369 12.1 a/d ?? ............................................................................................................................... ........... 369 12.2 a/d ??? ............................................................................................................................... ........... 371 12.3 a/d ??? ............................................................................................................................. 3 73 12.3.1 ? 0 per0 ............................................................................................................... 374 12.3.2 a/d ???? 0 adm0 .............................................................................................. 375 12.3.3 a/d ???? 1 adm1 .............................................................................................. 383 12.3.4 a/d ???? 2 adm2 .............................................................................................. 384 12.3.5 10 a/d ?? adcr ............................................................................................... 386 12.3.6 8 a/d ?? adcrh .............................................................................................. 386 12.3.7 ???? ads .................................................................................................... 387 12.3.8 ????? adul ..................................................................................... 388 12.3.9 ????? adll ..................................................................................... 388 12.3.10 a/d ?? adtes ............................................................................................................... 389 12.3.11 ??????? ................................................................................................ 389 12.4 a/d ?? ............................................................................................................................... ... 390 12.5 ?? ............................................................................................................................... ....... 392 12.6 a/d ??? ............................................................................................................................... ... 393 12.6.1 ?? ?????? .................................................................................... 393 12.6.2 ?? ?????? ... ... .............................................................................. 394 12.6.3 ????? ?????? ........................................................................ 395 12.6.4 ????? ?????? ........................................................................ 396 12.6.5 ???? ?????? ............................................................................ 397 12.6.6 ???? ?????? ............................................................................ 398 12.7 a/d ??? .............................................................................................................................. 399 12.7.1 ??? ............................................................................................................................ 39 9 12.7.2 ?????? ................................................................................................................ 400
?? - 7 12.7.3 ????? .................................................................................................................... 401 12.7.4 ???? / ????? ?????? .......................................................................................... 402 12.7.5 ??? .............................................................................................................................. ...... 403 12.8 snooze ?? ............................................................................................................................... .......... 404 12.9 a/d ??? ...................................................................................................................... 407 12.10 a/d ?? ............................................................................................................................... ... 410 13 ? / ?? .......................................................................................................... 414 13.1 ? ............................................................................................................................... ................................... 414 13.2 ?? ............................................................................................................................... ....................... 416 13.2.1 ????? compmdr ........................................................................................... 417 13.2.2 ???? compfir .............................................................................................. 418 13.2.3 ??? compocr ............................................................................................ 420 13.2.4 ?????? cvrctl ................................................................................ 422 13.2.5 ?????? i cirvm ................................................................................. 423 13.2.6 pga ?? pgactl ........................................................................................................... 424 13.2.7 6 pwm ???? opmr .............................................................................................. 424 13.2.8 6 pwm ???? opsr ............................................................................................... 425 13.2.9 6 pwm ? hi-z ?? ophs ............................................................................. 425 13.2.10 6 pwm ? hi-z ??? opht .. ........................................................................... 426 13 .2.11 ? 1 per1 ............................................................................................................... 427 13.2.12 ??????? ................................................................................................ 427 13.3 ? ............................................................................................................................... ........................... 428 13.3.1 ? i ? i=0 1 .................................................................................................... 430 13.3.2 ? i .............................................................................................................................. ....... 430 13.3.3 ?? elc ?? ................................................................................... 431 13.3.4 ?????? .................................................................................................................... 432 13.3.5 ??? rd ??? ........................................................................ 432 13.4 pwm ??? ............................................................................................................................... ................ 433 13.4.1 2 ?? ............................................................................................................................. 4 34 13.4.2 / ? ..................................................................................................................... 434 13.4.3 ?? ................................................................................................................ 434 13.4.4 ? .............................................................................................................................. .................. 437 13.4.5 ? pwm ????? .................................................................................................... 437 14 ? .............................................................................................................................. .438 14.1 ?? ............................................................................................................................... ....... 439 14.1.1 3 ? i/o csi00 ........................................................................................................................ 439 14.1.2 uart uart0 uart1 .............................................................................................................. 440 14.1.3 i2c iic00 .............................................................................................................................. .. 441 14.2 ??? . .............................................................................................................................. ....... 442 14.2.1 ? .............................................................................................................................. .............. 444 14.2.2 ?? mn sdrmn ? 8 ? 9 .................................................................... 444 14.3 ???? .......................................................................................................................... 446 14.3.1 ? 0 per0 ............................................................................................................... 447 14.3.2 ??? m spsm ...................................................................................................... 448 14.3.3 ??? mn smrmn ........................................................................................................ 449 14.3.4 ??? mn scrmn ......................................................................................... 450 14.3.5 ?? mn sdrmn ? 7 ........................................................................................ 453 14.3.6 ?? mn sirmn .......................................................................................... 454 14.3.7 ??? mn ssrmn ......................................................................................................... 455
?? - 8 14.3.8 ??? m ssm ........................................................................................................ 457 14.3.9 ???? m stm ........................................................................................................ 458 14.3.10 ???? m sem ................................................................................................ 459 14.3.11 ? m soem ..................................................................................................... 460 14.3.12 ? m som ............................................................................................................... 461 14.3.13 ?? m solm ..................................................................................................... 462 14.3.14 ?? m sscm ..................................................................................................... 463 14.3.15 ?? isc .............................................................................................................. 464 14.3.16 ?? 0 nfen0 ................................................................................................ 465 14.3.17 ? / ?????? ..................................................................................... 466 14.4 ???? ............................................................................................................................... ................... 467 14.4.1 ????? ............................................................................................................ 467 14.4.2 ??? ........................................................................................................................ 468 14.5 3 ? i/o csi00 ?? ............................................................................................................. 469 14.5.1 ? .............................................................................................................................. .................. 470 14.5.2 ? .............................................................................................................................. .................. 478 14.5.3 ???? .............................................................................................................................. .. 486 14.5.4 .............................................................................................................................. .................. 494 14.5.5 . ............................................................................................................................. .................. 502 14.5.6 ?? .............................................................................................................................. ...... 508 14.5.7 snooze ?? .............................................................................................................................. . 516 14.5.8 ???? ............................................................................................................................ 52 0 14.5.9 3 ? i/o csi00 ????? ...................................................... 522 14.6 ??????? .......................................................................................... 523 14.6.1 .............................................................................................................................. .................. 526 14.6.2 .............................................................................................................................. .................. 536 14.6.3 ??? .............................................................................................................................. .. 543 14.6.4 ???? ............................................................................................................................ 55 3 14.6.5 ??????????? .................................... 554 14.7 uart uart0 uart1 ?? ................................................................................................... 555 14.7.1 uart .............................................................................................................................. .............. 556 14.7.2 uart .............................................................................................................................. .............. 565 14.7.3 snooze ?? .............................................................................................................................. . 572 14.7.4 ?? .............................................................................................................................. .......... 578 14.7.5 uart uart0 uart1 ????? ............................................ 582 14.8 i2c iic00 ?? ..................................................................................................................... 583 14.8.1 ?? .............................................................................................................................. .......... 584 14.8.2 ? .............................................................................................................................. .................. 589 14.8.3 ? .............................................................................................................................. .................. 592 14.8.4 ??? .............................................................................................................................. ...... 596 14 .8 .5 ?? .............................................................................................................................. ...... 597 14.8.6 ? i2c iic00 ????? .............................................................. 599 15 ?? elc ............................................................................................................. 600 15.1 elc ? ............................................................................................................................... ...................... 600 15.2 elc ?? ............................................................................................................................... ...................... 600 15.3 elc ?? ............................................................................................................................... ......... 601 15.3.1 ???? n elselrn n=00 05 07 18 ............................................ 602 15.4 ? ............................................................................................................................... ........................... 605 16 ? .............................................................................................................................. ........ 606 16.1 ?? ............................................................................................................................... ............... 606
?? - 9 16.2 ??? ............................................................................................................................... ................... 606 16.3 ???? ............................................................................................................................... ... 610 16.3.1 ?? if0l if0h if1l if1h if2l if2h ......................................... 612 16.3.2 ??? mk0l mk0h mk1l mk1h mk2l mk2h ........................ 614 16.3.3 ???? pr00l pr00h pr01l pr01h pr02l pr02h pr10l pr10h pr11l pr11h pr12l pr12h .............................................................. 616 16.3.4 ?? egp0 ??? egn0 ....................... 618 16.3.5 ?? psw ............................................................................................................................ 61 9 16.4 ?? ............................................................................................................................... ............... 620 16.4.1 ? ........................................................................................................................ 620 16.4.2 ? ............................................................................................................................ 62 3 16.4.3 ? .............................................................................................................................. .......... 623 16.4.4 ????? ................................................................................................ 627 16.4.5 ? .............................................................................................................................. ...... 628 17 .............................................................................................................................. ........ 629 17.1 ??? ............................................................................................................................... ............... 629 17.1.1 .............................................................................................................................. .................. 629 17.2 ???? ............................................................................................................................... ... 630 17.2.1 ????? ostc .................................................................................. 631 17.2.2 ???? osts .................................................................................................. 632 17.3 ? ............................................................................................................................... ............... 633 17.3.1 halt ?? .............................................................................................................................. .............. 633 17.3.2 stop ?? .............................................................................................................................. ............... 637 17.3.3 snooze ?? .............................................................................................................................. ......... 642 18 .............................................................................................................................. ........ 645 18.1 ? ............................................................................................................................... ........................... 647 18.2 ????? ............................................................................................................................... ....... 654 19 ?y? .............................................................................................................................. .657 19.1 ?y?? ............................................................................................................................... ....... 657 19.2 ?y??? ............................................................................................................................... ....... 658 19.3 ?y? ............................................................................................................................... ....... 658 20 ? .............................................................................................................................. .662 20.1 ?? ............................................................................................................................... ....... 662 20.2 ??? ............................................................................................................................... ....... 663 20.3 ???? .......................................................................................................................... 664 20.3.1 ?? lvim .................................................................................................................. 664 20.3.2 ??? lvis ........................................................................................................... 665 20.4 ? . .. ............................................................................................................................ ....... 667 20.4.1 ???? ........................................................................................................................ 667 20.4.2 ???? ........................................................................................................................ 669 20.4.3 & ???? ........................................................................................................... 671 20.5 ?? .............................................................................................................................. 677 21 ? .............................................................................................................................. ........ 679 21.1 ???? ............................................................................................................................... ............... 679 21.2 ????? ............................................................................................................................... ... 680 21.3 crc ? crc .................................................................................................. 680 21.3.1 crc ?? crc0ctl ................................................................................................ 681
?? - 10 21.3.2 crc ? pgcrcl .......................................................................................... 681 21.3.3 .............................................................................................................................. .................. 682 21.4 crc ? ? crc ........................................................................................................................ 683 21.4.1 crc ? crcin ............................................................................................................... 683 21.4.2 crc ?? crcd ................................................................................................................ 684 21.4.3 .............................................................................................................................. .................. 684 21.5 ram ?? ........................................................................................................................ 685 21.5.1 ram ??? rpectl ................................................................................... 685 21.6 ram ............................................................................................................................... ................. 687 21.6.1 ???? iawctl ................................................................................ 687 21.7 sfr ............................................................................................................................... ................... 688 21.7.1 ???? iawctl ................................................................................ 688 21.8 ??? .............................................................................................................................. 689 21.8.1 ???? iawctl ................................................................................ 690 21.9 ??? ............................................................................................................................... ................... 691 21.9.1 ??? 0 tis0 ..................................................................................................... 692 21.10 a/d ? ............................................................................................................................... ................... 693 21.10.1 a/d ?? adtes ............................................................................................................... 694 21.10.2 ???? ads .................................................................................................... 695 21.11 / ?????? ........................................................................................... 696 21.11.1 ????? pms ............................................................................................................ 696 22 ? .............................................................................................................................. ............ 697 22.1 ??? ............................................................................................................................... ................... 697 23 ?? .............................................................................................................................. ........ 698 23.1 ???? ............................................................................................................................... ............... 698 23.1.1 ??? 000c0h 000c2h ............................................................................................... 698 23.1.2 ???? 000c3h .......................................................................................................... 699 23.2 ?????? ............................................................................................................................... ....... 700 23.3 ??????? .............................................................................................................................. 704 23.4 ???? ............................................................................................................................... ............... 705 24 .............................................................................................................................. ................ 706 24.1 ?? .......................................................................................................................... 707 24.1.1 ? .............................................................................................................................. .................. 708 24.1.2 ??? .............................................................................................................................. .................. 708 24.2 ?? uart ? ................................................................................................. 709 24.2.1 ? .............................................................................................................................. .................. 709 24.2.2 ??? .............................................................................................................................. .................. 710 24.3 ?? ............................................................................................................................... ....... 711 24.3.1 p40/tool0 .............................................................................................................................. ..... 711 24.3.2 reset .............................................................................................................................. ............. 711 24.3.3 ? .............................................................................................................................. .................. 712 24.3.4 regc .............................................................................................................................. .............. 712 24.3.5 x1 ? x2 .............................................................................................................................. . 712 24.3.6 ? .............................................................................................................................. .......................... 712 24.4 ? ............................................................................................................................... ........................... 713 24.4.1 ? .............................................................................................................................. .............. 713 24.4.2 ?? .............................................................................................................................. .......... 714 24.4.3 ??? .............................................................................................................................. .................. 715 24.4.4 ? .............................................................................................................................. .................. 716
?? - 11 24.4.5 ?? .............................................................................................................................. ...... 717 24.5 ?? ............................................................................................................................... ........................... 718 24.6 ??? .......................................................................................................................... 720 24.6.1 ? .............................................................................................................................. .. 722 24.7 ? pg-fp5 ???? ? ........................................................................................... 723 25 ??? .............................................................................................................................. .724 25.1 e1 ??? ........................................................................................................................ 724 25.2 ???? id............................................................................................................................. ................ 725 25.3 ??? ............................................................................................................................... ............... 725 26 ? bcd ............................................................................................................ 727 26.1 ?? ............................................................................................................................... ... 727 26.2 ????? ...................................................................................................................... 727 26.2.1 bcd ? bcdadj ................................................................................................... 727 26.3 ? ............................................................................................................................... ... 728 27 ???? .............................................................................................................................. .730 27.1 ............................................................................................................................... ................................... 730 27.1.1 ??? ............................................................................................................ 730 27.1.2 ? .............................................................................................................................. .......... 731 27.1.3 ?? .............................................................................................................................. .......... 732 27.1.4 prefix ? .............................................................................................................................. ........... 732 27.2 ? ............................................................................................................................... ....................... 733 28 .............................................................................................................................. ............ 751 28.1 ?? ............................................................................................................................... ........... 751 28.1.1 ?? .............................................................................................................................. ..... ... .......... 751 28.1.2 ? .............................................................................................................................. ...... 751 28.2 ?? ............................................................................................................................... ............... 752 28.3 ?? ............................................................................................................................... ................... 753 28.3.1 xt1 ?? .............................................................................................................................. .. 753 28.3.2 ? .............................................................................................................................. ...... 753 28.4 dc ............................................................................................................................... ............................. 754 28.4.1 .............................................................................................................................. .................. 754 28.4.2 ? .............................................................................................................................. .......... 759 28.5 ac ............................................................................................................................... ............................. 762 28.5.1 .............................................................................................................................. .................. 762 28.6 ............................................................................................................................... ................... 767 28.6.1 ? .............................................................................................................................. .......... 767 28.7 ? ............................................................................................................................... ........................... 791 28.7.1 a/d ? .............................................................................................................................. ...... 791 28.7.2 ?? .............................................................................................................................. ...... 794 28.7.3 ? .............................................................................................................................. ...................... 794 28.7.4 ?? .............................................................................................................................. .. 795 28.7.5 por .............................................................................................................................. ......... 795 28.7.6 lvd .............................................................................................................................. ......... 796 28.7.7 ?? .................................................................................................................... 796 28.8 ram ? ............................................................................................................................... ......... 797 28.9 ............................................................................................................................... ................... 797 28.10 ?? uart ................................................................................................................... 797 28.11 ???? .............................................................................................................................. 798
?? - 12 29 ??? .............................................................................................................................. ..... 799 ? a ?? .............................................................................................................................. ........ 800
R7F0C01592esn r7f0c01692esn 16 ??? r01uh0453cj0210 rev.2.10 1 2014.10.31 1 1.1 ? ?? 0.04167 s ?? 24mhz 1.0 s ?? 1mhz ???? ?? 8 32 ? (8 8 ? 4 ) rom 8 16kb ram 1.5kb ???? ? ? 48mhz(typ.) 24mhz(typ.) 16mhz(typ.) 12mhz(typ.) 8mhz(typ.) 4mhz(typ.) 1mhz(typ.) ?? / ??? ??? ?? ???? ?y por ?? lvd ??? ????? ?????? ? / ?? ? bcd i/o ?? 24 ? ?16 ? 7 ? tau 4 ?? rj 1 ?? rd 2 ? ? ?? 1 ? ?12 ? 1 ? ? ?csi ? uart ? i 2 c ??? 4.0 5.5v ? 2.5v 3v ? 8/10 ? a/d ? v dd =2.7 5.5v 7 ? ? halt stop snooze ?? ?? ??? ?? elc ?? v dd =2.7 5.5v ?? t a =?40 +85 c ? ???? ?1.6 ?? ? rom ram ? ????? 630 ? ? ? 3 cpu ?? ? rom ram 28 16kb 1.5kb ? r7f0c01692esn 8kb R7F0C01592esn r01uh0453cj0210 rev.2.10 2014.10.31
R7F0C01592esn r7f0c01692esn 1 r01uh0453cj0210 rev.2.10 2 2014.10.31 1.2 ??? ? 1-1 ????? ? rom ram ?? ?? 28 28 ? sop 1.27mm(300) 16kb 1.5kb ???? ?? ( sn) r7f0c01692esn-c#ca0 8kb R7F0C01592esn-c#ca0 {
? _ ?a r 7 f 0 c 0 1 5 9 2 e s n - c # c a 0 ?>?/?2o {
?2o *29 e' sn-c?sop?1.27mmkc #ca0?m ?j?(4?sn) 6?d 9?286? {
? _ ? 0c015 ?8kb rom 0c016?16kb rom *e  2e?!?*{
??t a =?4085c rom/?2o f?k?, 7?mcu{
? >???
`)?wh
R7F0C01592esn r7f0c01692esn 1 r01uh0453cj0210 rev.2.10 3 2014.10.31 1.3 ? top view ? ? 0.47 1 f regc v ss ? ? 1. ?1.4 ? 2. ?? i/o ?? 1 pior1 ? ( ) ??? 28 p20/ani0/av refp 27 p21/ani1/av refm 15 p30/intp3/sck00/scl00/trjo0 26 p22/ani2 25 p23/ani3 24 p10/trdiod1 23 p11/trdioc1 22 p12/trdiob1 21 p13/trdioa1 20 p14/trdiod0 19 p15/pclbuz1/trdiob0 18 p16/ti01/to01/intp5/trdioc0 17 p51/intp2/so00/txd0/tooltxd 16 p50/intp1/si00/rxd0/toolrxd/sda00/(trjo0) 1 p01/ani16/to00/rxd1/pgai/(trjio0) 2 p00/ani17/ti00/txd1/cmp0p/(trjo0) 3 p120/ani19/cmp1p 4 p40/tool0 5 6 p137/intp0 7 p122/x2/exclk 8 p121/x1 9 regc 10 v ss 11 v dd 12 p60 13 p61 14 p31/ti03/to03/intp4/pclbuz0/ssi00/(trjio0) reset
R7F0C01592esn r7f0c01692esn 1 r01uh0453cj0210 rev.2.10 4 2014.10.31 1.4 ani0 ani3 ani16 ani17 ani19 analog input av refm analog reference voltage minus av refp analog reference voltage plus exclk external clock input (main system clock) intp0 intp5 external interrupt input p00 p01 port 0 p10 p16 port 1 p20 p23 port 2 p30 p31 port 3 p40 port 4 p50 p51 port 5 p60 p61 port 6 p120 p122 port 12 p137 port 13 pclbuz0 pclbuz1 programmable clock output/buzzer output regc regulator capacitance reset reset rxd0 rxd1 receive data sck00 serial clock input/output scl00 serial clock output sda00 serial data input/output si00 serial data input so00 serial data output ssi00 serial interface chip select input ti00 ti01 ti03 timer input to00 to01 to03 trjo0 timer output tool0 data input/output for tool toolrxd tooltxd data input/output for external device trdiob0 trdioc0 trdiod0 timer input/output trdioa1 trdiob1 trdioc1 trdiod1 trjio0 txd0 txd1 transmit data cmp0p cmp1p comparator input pgai pga input v dd power supply v ss ground x1 x2 crystal oscillator (main system clock)
R7F0C01592esn r7f0c01692esn 1 r01uh0453cj0210 rev.2.10 5 2014.10.31 1.5 ? voltage regulator regc interrupt control ram system control high-speed on-chip oscillator rxd0/p50 txd0/p51 uart1 rxd1/p01 txd1/p00 scl00/p30 sda00/p50 ti03/to03/p31 intp0/p137 intp3/p30, intp4/p31 intp1/p50, intp2/p51 ti00/p00 csi00 sck00/p30 so00/p51 si00/p50 v ss toolrxd/p50, tooltxd/p51 v dd intp5/p16 ti01/to01/p16 trdiob0/p15, trdioc0/p16, trdiod0/p14 4 trdioa1/p13 totrdiod1/p10 3 timer rj trjio0/p01 trjo0/p30 ssi00 /p31 ch0 timer array unit (4ch) ch1 ch2 ch3 timer rd (2ch) ch0 ch1 window watchdog timer serial array unit0 (4ch) uart0 iic00 to00/p01 rxd0/p50 port 1 p10 to p16 7 port 2 p20 to p23 4 port 3 p30, p31 2 port 0 p00, p01 2 port 5 p50, p51 2 port 6 p120 port 12 p121, p122 p137 port 13 power on reset/ voltage detector por/lvd control reset control tool0/p40 on-chip debug 2 2 cmp (2ch) cmp0 cmp0p/p00 cmp1 cmp1p/p120 12-bit interval timer 2 port 4 p40 p60, p61 2 4 ani0/p20 to ani3/p23 10-bit a/d converter ani16/p01, ani17/p00, ani19/p120 3 av refp /p20 av refm /p21 x1/p121 reset x2/exclk/p122 multiplier & divider, mulitiply- accumulator rl78 cpu core code flash memory buzzer output pclbuz0/p31, pclbuz1/p15 clock output control 2 bcd adjustment event link controller pga pwm option unit pgai/p01 low-speed on-chip oscillator
R7F0C01592esn r7f0c01692esn 1 r01uh0453cj0210 rev.2.10 6 2014.10.31 1.6 ?? ? ? i/o ?? 1 pior1 ?00h? ???? (1/2) ? ???????? 1 ? ???????? rom ram ??? rl78 family flash self programming library type01 user?s manual ? 28 R7F0C01592 r7f0c01692 8kb 16kb ram 1.5kb ?? 1m ? ?? ? ??? x1 / ???????? exclk 1 20mhz v dd =2.7 5.5v ? ? f ih hs ??1 24mhz v dd =2.7 5.5v ?? 15khz(typ.) v dd =2.7 5.5v ?? 8 32 ? 8 8 ? 4 ??? 0.04167 s ???f ih =24mhz ? 0.05 s ????f mx =20mhz ? ?? ? ? 8/16 ? ? / ? 8/16 ? ? 8 8 16 16 16 16 32 32 ? ? 16 16 +32 ? ???? ???? i/o ? ? 24 cmos / 21 8 ? ? cmos 3 cmos ? n ? / 6v ? ? ? 16 ? 7 ? tau 4 ?? rj 1 ?? rd 2 ? ?? 1 ? 12 ? 1 ? ? 12 tau 3 ? rj 2 ? rd 7 pwm 8 tau 2 ? rd 6
R7F0C01592esn r7f0c01692esn 1 r01uh0453cj0210 rev.2.10 7 2014.10.31 (2/2) ? ?? ffh ??? ????????? ? 28 R7F0C01592 r7f0c01692 ? / 2 ? 2.44khz 4.88khz 9.77khz 1.25mhz 2.5mhz 5mhz 10mhz ????f main =20mhz ? 8/10 ? a/d ? 7 ? ? 2 ? ?? 1 ? ? ?csi 1 ? /uart0 1 ? / i 2 c 1 ? ?uart1 1 ? ?? elc ?? 18 ?6 ? ? 20 ? 6 ? ? reset ?? ? ???? ? ??y?? ? ??? ? ???? ? ? ram ?? ? ??? ?y? ? ?y 1.510.03v ? ?y 1.500.03v ? 2.75v 4.06v 6 ? ??? ?? v dd =2.7 5.5v ? t a =?40 +85 c
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 8 2014.10.31 2 ? 2.1 ?? ??? / ??? ?? / ?? 2-1 ? / ? ? ? v dd ?
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 9 2014.10.31 (1/2) ? 1. ?????? x pmcx ???? ?? 2. ? a/d ?? adpc ????? ? ?? i/o ?? 1 pior1 ? ( ) ??? / p00 / ? 0 2 / ? p01 ?? ttl ?^ p00 ?? n ? v dd ? p00 p01 ??? ? 1 ?? ???? ? ? ani17/ti00/txd1/ cmp0p/(trjo0) p01 ani16/to00/rxd1/ pgai/(trjio0) p10 / ? 1 7 / ? p10 p15 p16 ?? ttl ?^ p10 p15 p16 ?? n ? v dd ? ?? ???? ? trdiod1 p11 trdioc1 p12 trdiob1 p13 trdioa1 p14 trdiod0 p15 pclbuz1/trdiob0 p16 ti01/to01/intp5/ trdioc0 p20 / ? 2 4 / ? p20 p23 ??? ? 2 ?? ? ? ani0/av refp p21 ani1/av refm p22 ani2 p23 ani3 p30 / ? 3 2 / ? p30 ?? ttl ?^ p30 ?? n ? v dd ? ?? ???? ? intp3/sck00/ scl00/trjo0 p31 ti03/to03/intp4/ pclbuz0/ssi00 / (trjio0) p40 / ? 4 1 / ? ? ???? ? tool0
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 10 2014.10.31 (2/2) ? ?????? x pmcx ???? ?? ? ?? i/o ?? 1 pior1 ? ( ) ??? / p50 / ? 5 2 / ? p50 ?? ttl ?^ p50 p51 ?? n ? v dd ? ?? ???? ? intp1/si00/rxd0/ toolrxd/ sda00/(trjo0) p51 intp2/so00/txd0/ tooltxd p60 / ? 6 2 / ? ?? ? ? p61 p120 / ? 12 1 / ?? 4 ??? p120 ??? ? ? p120 ? ? p120 ???? ? ? ani19/cmp1p p121 ? x1 p122 x2/exclk p137 ? 13 1 ??? ? intp0
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 11 2014.10.31 2.2 ?? (1/2) / ani0 a/d ?? ani1 ani2 ani3 ani16 ani17 ani19 cmp0p ? cmp1p pgai pga intp0 ? intp1 intp2 intp3 intp4 intp5 pclbuz0 ? / pclbuz1 regc ? ??? ? 0.47 1 f) v ss reset ? rxd0 uart0 ? rxd1 uart1 ? sck00 / csi00 ? / scl00 i 2 c ? sda00 / i 2 c ? / si00 csi00 ? so00 csi00 ? ssi00 csi00 ??
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 12 2014.10.31 (2/2) ? ? p40/tool0 ????? 2-2 ? p40/tool0 ???? ? ?24.4 ? ? ? ???? v dd -v ss ????y??? 0.1 f ? / ti00 16 ? 00 ?? ti01 16 ? 01 ?? ti03 16 ? 03 ?? to00 16 ? 00 to01 16 ? 01 to03 16 ? 03 trjio0 / ? rj / trjo0 ? rj trdiob0 / ? rd0 / trdioc0 ? rd0 / trdiod0 ? rd0 / trdioa1 ? rd1 / trdiob1 ? rd1 / trdioc1 ? rd1 / trdiod1 ? rd1 / txd0 uart0 ? txd1 uar t 1 ? x1 ? ???? x2 ? exclk ?????? v dd ? ??? av refp a/d ????? + av refm a/d ????? ? v ss ? ????? toolrxd ?? uart tooltxd ?? uart tool0 / / / p40/tool0 ?? v dd ??? 0v ??
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 13 2014.10.31 2.3 ??? 2.3.1 p00 p01 port 0 / ?? / ??? / a/d ??? ?? / ?? / ?? pga ?? ???? 0 pu0 ?? ?????? 0 pim0 ? p01 ????? ttl ?^ ?????? 0 pom0 ? p00 ???? cmos n ? v dd ? ??????? 0 pmc0 ??? ? ? ?p00 ? p01 ???? (1) ??? / ???????? 0 pm0 ??????? (2) ?? ? / a/d ????? / ?? / ? ? pga ? (a) ani16 ani17 a/d ?? ani16 ani17 ?? ? 12.10(5) ? ? anin ? (b) ti00 16 ? 00 ?? / ?? (c) to00 16 ? 00 ??? (d) txd1 ?? uart1 ?? (e) rxd1 ?? uart1 ?? (f) trjio0 ?? rj ?? / ? (g) cmp0p ????
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 14 2014.10.31 (h) pgai ????? 2.3.2 p10 p16 port 1 / ?? / ???? / ? / ? ? / ? ???? 1 pu1 ?? ?????? 1 pim1 ? p10 p15 p16 ???? ttl ?^ ?????? 1 pom1 ? p10 ? p15 ???? cmos n ? v dd ? ???? (1) ??? / ???????? 1 pm1 ??????? (2) ?? ?? / ?? / ? / ??? (a) intp5 ? ???????? (b) ti01 16 ? 01 ?? / ?? (c) to01 16 ? 01 ??? (d) trdiob0 trdioc0 trdiod0 trdioa1 trdiob1 trdioc1 trdiod1 ?? rd ?? / ? (e) pclbuz1 ? / ?? / 1 ?
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 15 2014.10.31 2.3.3 p20 p23 port 2 / ?? / ?? a/d ?? a/d ??? ?? ? a/d ?? adpc ????? ???? (1) ??? / ???????? 2 pm2 ??????? (2) ?? a/d ??? a/d ???? (a) ani0 ani3 a/d ?? ani0 ani3 ? 12.10(5) ? anin ? (b) av refp a/d ????? + ? (c) av refm a/d ????? ? ?
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 16 2014.10.31 2.3.4 p30 p31 port 3 / ?? / ???????? / ? / ? / ? ???? 3 pu3 ?? ?????? 3 pim3 ? p30 ? p31 ???? ttl ?^ ?????? 3 pom3 ? p30 ???? cmos n ? v dd ? ???? (1) ??? / ???????? 3 pm3 ??????? (2) ?? ?????? / ? / (a) intp3 intp4 ? ???????? (b) sck00 ?? csi00 ?? / ? (c) scl00 ??? i 2 c ??? (d) ti03 16 ? 03 ?? / ?? (e) to03 16 ? 03 ??? (f) trjo0 ?? rj ??? (g) pclbuz0 ? / ?? / 0 ?
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 17 2014.10.31 2.3.5 p40 port 4 / ?? / ?? / / ? ???? 4 pu4 ?? ?? ??????? ???? (1) ??? / ???????? 4 pm4 ??????? (2) ?? / / (a) tool0 / / ? ???? ? ? ? p40/tool0 ????? 2-3 ? p40/tool0 ???? ? ? 24.4 ? ? p40/tool0 ?? v dd ??? 0v ??
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 18 2014.10.31 2.3.6 p50 p51 port 5 / ?? / ??????? / uart / ? ???? 5 pu5 ?? ?????? 5 pim5 ? p50 ????? ttl ?^ ?????? 5 pom5 ? p50 ? p51 ???? cmos n ? v dd ? ???? (1) ??? / ???????? 5 pm5 ??????? (2) ?? ????? / uart / (a) intp1 intp2 ? ???????? (b) si00 ?? csi00 ?? (c) so00 ?? csi00 ?? (d) sda00 ??? i 2 c ? / ? (e) txd0 ?? uart0 ?? (f) rxd0 ?? uart0 ?? (g) tooltxd ?? uart ?? (h) toolrxd ?? uart ??
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 19 2014.10.31 2.3.7 p60 p61 port 6 / ?? ???? 6 pu6 ?? ?????? 6 pm6 ??????? ???? (1) ??? / ?? 2.3.8 p120 p122 port 12 p120 / ?? p121 p122 ?? / ?? a/d ?? ???????????????? p120 ???? 12 pu12 ?? ??????? 12 pmc12 ? p120 ??? / ? ? ???? (1) ??? p120 / ???????? 12 pm12 ?????? p121 p122 ?? (2) ?? a/d ????????????????? (a) ani19 a/d ??? ? 12.10(5) ? anin ? (b) cmp1p ???? (c) x1 x2 ????? (d) exclk ???????
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 20 2014.10.31 2.3.9 p137 port 13 p137 ??????? (1) ??? p137 ?? (2) ?? ?? (a) intp0 ? ???????? 2.3.10 v dd v ss (1) v dd v dd ??? (2) v ss v ss ???? ? ???? v dd -v ss ????y??? 0.1 f ? 2.3.11 reset ?????? ?????? v dd ??? v dd ??? 2.3.12 regc ????? 0.47 1 f v ss ???????? ? ?????? regc v ss
R7F0C01592esn r7f0c01692esn 2 ? r01uh0453cj0210 rev.2.10 21 2014.10.31 2.4 ??? ??? 2-4 ? 2-4 ??? / ???? p00/ani17/ti00/txd1/cmp0p/ (trjo0) / ?? v dd v ss ? p01/ani16/to00/rxd1/pgai/ trjio0 p10/trdiod1 p11/trdioc1 p12/trdiob1 p13//trdioa1 p14/trdiod0 p15/pclbuz1/trdiob0 p16/ti01/to01/intp5/trdioc0 p20/ani0/av refp p21/ani1/av refm p22/ani2 p23/ani3 p30/intp3/sck00/scl00/trjo0 p31/ti03/to03/intp4/pclbuz0/ ssi00 / (trjio0) p40/tool0 ?? v dd ? ? p50/intp1/si00/rxd0/toolrxd/ sda00/(trjo0) ?? v dd v ss ? p51/intp2/so00/txd0/tooltxd p60 ?? v dd v ss ? p61 p120/ani19/cmp1p / ?? v dd v ss ? p121/x1 ?? v dd v ss p122/x2/exclk p137/intp0 reset ??? v dd regc ? ? 0.47 1 f v ss
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 22 2014.10.31 3 cpu ?? 3.1 ? R7F0C015 r7f0c016 ?? 1m ??????? ? 3-1 ? 3-2 ?
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 23 2014.10.31 ? 3-1 ? R7F0C015 ? 1. ????????? ffe20h ffeffh ff900h ffc80h 2. ???? ram ?? 3. 000c0h 000c3h ????? 000c4h 000cdh ????? id ? ram ? rperdis=0 ?? ? ram ? ? ram ??? ? ram +10 ? ? ?? ram ? rperdis=0 ??? ?21.5 ram ?? ? fffffh ffee0h ffedfh ff900h ff8ffh f0800h f07ffh f0000h effffh 02000h 01fffh 00000h fff00h ffeffh 00000h 000ceh 000cdh 01fffh 000c4h 000c3h 000c0h 000bfh 00080h 0007fh (m!^s6??, <(sfr) 256+8v e?*?, < 32+8v ram "?1?2 1.5k+8v +-  =)(m!^s6??, <(2nd sfr) 2k+8v +-  -?k?, 8k+8v db,| 0nk /?c,| 0nk ?g><  128+8v callt><  64+8v /?c  eynm+8v  "?3 4+8v (taa? ] R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 24 2014.10.31 ? 3-2 ? r7f0c016 ? 1. ?????????? ffe20h ffeffh ff900h ffc80h 2. ???? ram ?? 3. 000c0h 000c3h ????? 000c4h 000cdh ????? id ? ? ram ??? ram ? rperdis=0 ? ram +10 ? ? ? fffffh ffee0h ffedfh ff900h ff8ffh f1000h f0fffh f0800h f07ffh f0000h effffh 04000h 03fffh 00000h fff00h ffeffh 00000h 000ceh 000cdh 03fffh 000c4h 000c3h 000c0h 000bfh 00080h 0007fh k0  f4000h f3fffh (m!^s6??, <(sfr) 256+8v e?*?, < 32+8v ram "?1?2 1.5k+8v +-  +-  =)(m!^s6??, <(2nd sfr) 2k+8v +-  -?k?, 16k+8v db,| 0nk /?c,| 0nk ?g><  128+8v callt><  64+8v /?c  eynm+8v  "?3 4+8v (taa? ] R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 25 2014.10.31 ? ? 1 =1k ??????? ? 3-1 ??????? ? r7f0c016 ???????? 3-1 ??????? ?? ?? 00000h 003ffh 00h 02000h 023ffh 08h 00400h 007ffh 01h 02400h 027ffh 09h 00800h 00bffh 02h 02800h 02bffh 0ah 00c00h 00fffh 03h 02c00h 02fffh 0bh 01000h 013ffh 04h 03000h 033ffh 0ch 01400h 017ffh 05h 03400h 037ffh 0dh 01800h 01bffh 06h 03800h 03bffh 0eh 01c00h 01fffh 07h 03c00h 03fffh 0fh +00h +01h +0fh 1k+8v 003ffh 00400h 00000h 007ffh 03bffh 03c00h 03fffh
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 26 2014.10.31 3.1.1 ?? ????? R7F0C015 r7f0c016 ? rom ? 3-2 ? rom ???? (1) 00000h 0007fh 128 ??????? ??????? 2 ??????? 00000h 0ffffh 64k ? ?? 16 ?? 8 ? 16 ?? 8 3-3 ? ? ? ???? ? ? ? ???? ? ? rom R7F0C015 8192 8 00000h 01fffh r7f0c016 16384 8 00000h 03fffh
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 27 2014.10.31 3-3 ? ? 0000h reset por lvd wdt trap iaw rpe 0004h intwdti 0006h intlvi 0008h intp0 000ah intp1 000ch intp2 000eh intp3 0010h intp4 0012h intp5 001eh intst0/intcsi00/intiic00 0020h intsr0 0022h intsre0 inttm01h 0024h intst1 0026h intsr1 0028h intsre1 inttm03h 002ch inttm00 002eh inttm01 0030h inttm02 0032h inttm03 0034h intad 0038h intit 003ah intkr 0040h inttrj0 0052h intcmp0 0054h intcmp1 0056h inttrd0 0058h inttrd1 0062h intfl 007eh brk
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 28 2014.10.31 (2) callt ? 00080h 000bfh 64 ?? 2 ??? callt ???? ??? 00000h 0ffffh ?? ??? 2 ?? (3) ?? 000c0h 000c3h 4 ???? ? 23 ?? ? (4) ???? id ? 000c4h 000cdh 10 ????? id ? 000c4h 000cdh ? 10 ? ???? id ? ? 25 ??? ?
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 29 2014.10.31 3.1.2 r7f0c016 00000h 03fffh ? f0000h fffffh ??? f0000h fffffh ? es ????? ??? sfr ? sfr ram ? ??? ? 3.1 ? ? ?????? ? r7f0c016 ? 16k ?? ram ? 1.5k ?? pmc ??? fffffh fff00h ffeffh ffee0h ffedfh ff900h ff8ffh f0e00h f0dffh f0800h f07ffh f0000h effffh 04000h 03fffh 00e00h 00cffh 00000h f4000h f3fffh -?k?, -?k?, k0 (m!^s6??, <(sfr) 256+8v e?*?, < 32+8v ram 1.5k+8v k0  (
`00e00h 03fffh, ,xdb) =)(m!^s6??, <(2nd sfr) 2k+8v +-  +-  +-  _v03789h k0f3789h? !8a? a6?*??? mov a, !3789h 1???? mov es, #00h mov a, es:!3789h
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 30 2014.10.31 ? ???? pmc ?? f0000h fffffh ??? ? 1 8 ??? pmc ? ????????? ?00h? ? 3-3 ???? pmc ?? ? 1. bit0 maa ? ?0? ?? 2. ? pmc ????? 1 ?? ? ffffeh 00h r/w p m c0000000m a a maa ? f0000h fffffh ?? 0 00000h 03fffh f0000h fffffh 1 ?? 76543210
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 31 2014.10.31 3.1.3 ??? R7F0C015 r7f0c016 ram 3-4 ? ram ? ram ?????? ???? ??? ram ffee0h ffeffh 32 ? 8 8 ?? 1 4 ?? ???? ram ? 1. ??? ffee0h ffeffh ?????? 2. ??? ram ffe20h ffeffh ????? ?? rl78 family flash self programming library type01 user?s manual 3. ? ram ff900h ffc80h ?????? 3.1.4 ??? sfr special function register ????? sfr fff00h fffffh ?? ? 3.2.4 ??? sfr special function register ? ? 3-5 ? ?? sfr ?? 3.1.5 ???? 2nd sfr 2nd special function register ?????? 2nd sfr f0000h f07ffh ? 3.2.5 ? ??? 2nd sfr 2nd special function register ? 3-6 ? sfr fff00h fffffh sfr ? sfr ??? sfr 1 ?? ? ??? sfr ?? 3.1.6 ??? ????????????????? ????????? R7F0C015 r7f0c016 ????? ??????? sfr ????? ??????? ? 3-4 ? 3-5 ? ????? ? 3.4 ???? ? ? ? ram R7F0C015 r7f0c016 1536 8 ff900h ffeffh
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 32 2014.10.31 ? 3-4 ????? R7F0C015 ? ?????????? ffe20h ffeffh ff900h ffc80h fffffh ffee0h ffedfh ffe20h fff00h ffeffh ff8ffh f2000h f1fffh f0e00h f0dffh f0800h f07ffh f0000h effffh 02000h 01fffh 00000h fff20h fff1fh ffe1fh ff900h (m!^s6??, <(sfr) 256+8v e?*?, < 32+8v ram "? 1.5k+8v +-  =)(m!^s6??, <(2nd sfr) 2k+8v +-  -?k?, 8k+8v ,y?  ?, R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 33 2014.10.31 ? 3-5 ????? r7f0c016 ? ?????????? ffe20h ffeffh ff900h ffc80h fffffh ffee0h ffedfh ffe20h fff00h ffeffh ff8ffh f0e00h f0dffh f0800h f07ffh f0000h effffh 04000h 03fffh 00000h fff20h fff1fh ffe1fh ff900h k0  f4000h f3fffh (m!^s6??, <(sfr) 256+8v e?*?, < 32+8v ram "? 1.5k+8v +-  =)(m!^s6??, <(2nd sfr) 2k+8v +-  -?k?, 16k+8v ,y?  ?, R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 34 2014.10.31 3.2 ?? R7F0C015 r7f0c016 ?? 3.2.1 ?? ?????????????? pc ?? psw ??? sp (1) pc ??????? 20 ? ?????????????? ? ??????? 0000h 0001h ?? ? 3-6 ?? (2) ?? psw ?????? 8 ????? ??? push psw ???????? retb ? reti ? pop psw ??????? ??? psw ?? ?06h? ? 3-7 ????? (a) ? ie ? cpu ??? ie ?0? ???? di ????? ie ?1? ?? ei ????? isp1 isp0 ?? ??????? ?? di ????? ?0? ?? ei ???? ?1? (b) ? z ???? ?1? ???? ?0? 19 0 pc 7 0 psw ie z rbs1 ac rbs0 isp1 isp0 cy
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 35 2014.10.31 (c) ??? rbs0 rbs1 ? 4 ?? 1 2 ? ???? sel rbn ??? 2 ? (d) ? ac bit3 ???? ?1? ???? ?0? (e) ?? isp1 isp0 ???????????? prn0l prn0h prn1l prn1h prn2l prn2h 16.3.3 ?? isp0 ?? isp1 ?? ???? ie ??? ? n=0 1 (f) ? cy ?????????????? ??? (3) ?? sp ???? 16 ??? ram ??? ? 3-8 ???? ??????? sp ? ??????? ? ? 1. ??? sp ???????? sp ? 2. ??? ffee0h ffeffh ??? 3. ??? ram ffe20h ffeffh ????? ?? rl78 family flash self programming library type01 user?s manual 4. ? ram ff900h ffc80h ?????? 15 0 sp sp15 sp14 sp13 sp12 sp11 sp10 sp9 sp8 sp7 sp6 sp5 sp4 sp3 sp2 sp1 0
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 36 2014.10.31 ? 3-9 ? pc7pc0 pc15pc8 pc19pc16 psw ??brk?? sp sp?4 sp?4 sp?3 sp?2 sp?1 sp call?callt?? ?, <,x"! ?, <,xp?! push rp?? sp sp?2 sp?2 sp?1 sp (4+8v ) (4+8v ) pc7pc0 pc15pc8 pc19pc16 00h sp sp?4 sp?4 sp?3 sp?2 sp?1 sp 00h psw push psw?? sp sp?2 sp?2 sp?1 sp
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 37 2014.10.31 3.2.2 ?? ?????? ffee0h ffeffh 8 8 ? x a c b e d l h ? 1 4 ? ??? 8 ??? 2 8 ?? 1 16 ? ax bc de hl ? cpu ? sel rbn ??????????? 4 ??? ??????? ? 1. ??? ffee0h ffeffh ?????? 2. ??? ram ffe20h ffeffh ????? ?? rl78 family flash self programming library type01 user?s manual 3. ? ram ff900h ffc80h ?????? ? 3-10 ???? (a) ?, <4? 0 ?, <4? 1 ?, <4? 2 ?, <4? 3 ffeffh ffef8h ffee0h hl de bc ax h 15 0 7 0 l d e b c a x 16!?) 8!?) ffef0h ffee8h
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 38 2014.10.31 3.2.3 es ? cs ? ? es ? cs ? ?????????????? es ?????? ?0fh? cs ?????? ?00h? ? 3-11 es/cs ??? ? 16 ?? f0000h fffffh 64k ???? ?es:? ? 00000h fffffh 1m ???? ? 3-12 ??? 76543210 es 0 0 0 0 es3 es2 es1 es0 76543210 cs 0 0 0 0 cs3 cs2 cs1 cs0 fffffh 00000h f0000h effffh !addr16 !addr16 f 0000h ffffh 0hfh 0000h ffffh es:!addr16 es:!addr16 (m!^s6??, < (sfr) 256+8v =)(m!^s6??, < (2nd sfr) 2k+8v db,|0nk -?k?,
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 39 2014.10.31 3.2.4 ??? sfr special function register sfr ??????????? sfr ? fff00h fffffh ??????? sfr ?? 1 8 16 sfr ? ??? ? ?? sfr.bit ? ?? ??? . ??? . ? ?8 8 ?? sfr ????? ?16 16 ?? sfrp ??????? sfr ? 3-5 ???? ? ????????????? #pragma sfr ?? ? sfr ??????????? ?r/w ?? read write ???? r/w ? r ?? w ? ? ?? ? ? ??? 1 8 16 ? D? ??? ? ??????? ? ?? sfr ?? ? ? sfr 2nd sfr ?3.2.5 ???? 2nd sfr 2nd special function register ?
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 40 2014.10.31 3-5 ??? sfr ? (1/3) ? ??? sfr r/w ?? 1 8 16 fff00h ??? 0 p0 r/w ? 00h fff01h ??? 1 p1 r/w ? 00h fff02h ??? 2 p2 r/w ? 00h fff03h ??? 3 p3 r/w ? 00h fff04h ??? 4 p4 r/w ? 00h fff05h ??? 5 p5 r/w ? 00h fff06h ??? 6 p6 r/w ? 00h fff0ch ??? 12 p12 r/w ? ? fff0dh ??? 13 p13 r/w ? ? fff10h ?? 00 txd0/ sio00 sdr00 r/w ? 0000h fff11h ? ? ? fff12h ?? 01 rxd0/ sio01 sdr01 r/w ? 0000h fff13h ? ? ? fff18h ??? 00 tdr00 r/w ? ? 0000h fff19h fff1ah ??? 01 tdr01l tdr01 r/w ? 00h fff1bh tdr01h ? 00h ff f1 eh 10 a/d ?? adcr r ? ? 0000h fff1fh 8 a/d ?? adcrh r ? ? 00h fff20h ???? 0 pm0 r/w ?ffh fff21h ???? 1 pm1 r/w ?ffh fff22h ???? 2 pm2 r/w ?ffh fff23h ???? 3 pm3 r/w ?ffh fff24h ???? 4 pm4 r/w ?ffh fff25h ???? 5 pm5 r/w ?ffh fff26h ???? 6 pm6 r/w ?ffh fff2ch ???? 12 pm12 r/w ?ffh fff30h a/d ???? 0 adm0 r/w ? 00h fff31h ???? ads r/w ? 00h fff32h a/d ???? 1 adm1 r/w ? 00h fff38h ?? 0 egp0 r/w ? 00h fff39h ??? 0 egn0 r/w ? 00h
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 41 2014.10.31 3-5 ??? sfr ? (2/3) ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ?? rd sfr ????? f clk ?? f ih ? trd0en ?1? ?? ? ??? sfr r/w ?? 1 8 16 fff44h ?? 02 txd1/ sio10 sdr02 r/w ? 0000h fff45h ? ? ? fff46h ?? 03 rxd1/ sio11 sdr03 r/w ? 0000h fff47h ? ? ? fff58h ? rd ?? c0 trdgrc0 r/w ? ? ffffh ? fff59h fff5ah ? rd ?? d0 trdgrd0 r/w ? ? ffffh ? fff5bh fff5ch ? rd ?? c1 trdgrc1 r/w ? ? ffffh ? fff5dh fff5eh ? rd ?? d1 trdgrd1 r/w ? ? ffffh ? fff5fh fff64h ??? 02 tdr02 r/w ? ? 0000h fff65h fff66h ??? 03 tdr03l tdr03 r/w ? 00h fff67h tdr03h ? 00h fff90h 12 ???? itmc r/w ? ? 0fffh fff91h fffa0h ????? cmc r/w ? ? 00h fffa1h ????? csc r/w ?c0h fffa2h ???? ? ostc r ? 00h fffa3h ???? osts r/w ? ? 07h fffa4h ?????? ckc r/w ? 00h fffa5h ??? 0 cks0 r/w ? 00h fffa6h ??? 1 cks1 r/w ? 00h
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 42 2014.10.31 3-5 ??? sfr ? (3/3) ? 1. resf ????? 2. lvim ????? 3. lvis ????????? 4. wdte ???????? ? ? sfr 2nd sfr ? 3-6 ???? 2nd sfr ? ? ? ??? sfr r/w ?? 1 8 16 fffa8h ??? resf r ? ? ? ? 1 fffa9h ?? lvim r/w ? 00h ? 2 fffaah ??? lvis r/w ? 00h/01h/ 81h ? 3 fffabh ??? wdte r/w ? ? 9ah/1ah ? 4 fffach crc ? crcin r/w ? ? 00h fffd0h ?? 2l if2l if2 r/w 00h fffd1h ?? 2h if2h r/w 00h fffd4h ??? 2l mk2l mk2 r/w ffh fffd5h ??? 2h mk2h r/w ffh fffd8h ???? 02l pr02l pr02 r/w ffh fffd9h ???? 02h pr02h r/w ffh fffdch ???? 12l pr12l pr12 r/w ffh fffddh ???? 12h pr12h r/w ffh fffe0h ?? 0l if0l if0 r/w 00h fffe1h ?? 0h if0h r/w 00h fffe2h ?? 1l if1l if1 r/w 00h fffe3h ?? 1h if1h r/w 00h fffe4h ??? 0l mk0l mk0 r/w ffh fffe5h ??? 0h mk0h r/w ffh fffe6h ??? 1l mk1l mk1 r/w ffh fffe7h ??? 1h mk1h r/w ffh ff fe8 h ???? 00l pr00l pr00 r/w ffh fffe9h ???? 00h pr00h r/w ffh fffeah ???? 01l pr01l pr01 r/w ffh fffebh ???? 01h pr01h r/w ffh fffech ???? 10l pr10l pr10 r/w ffh fffedh ???? 10h pr10h r/w ffh fffeeh ???? 11l pr11l pr11 r/w ffh fffefh ???? 11h pr11h r/w ffh ffff0h ???? l macrl r/w ? ? 0000h ffff1h ffff2h ???? h macrh r/w ? ? 0000h ffff3h ffffeh ???? pmc r/w ? 00h
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 43 2014.10.31 3.2.5 ???? 2nd sfr 2nd special function register ? sfr 2nd sfr ??????????? ? sfr ? f0000h f07ffh ? sfr fff00h fffffh sfr ? sfr ??? sfr 1 ?? ???????? sfr ?? 1 8 16 ? sfr ? ??? ? ?? !addr16.bit ? ?? ??? . ??? . ? ?8 8 ?? !addr16 ????? ?16 16 ?? !addr16 ??????? ? sfr ? 3-6 ???? ? ??? sfr ???????? #pragma sfr ??? sfr ??????????? ?r/w ?? read write ?? sfr r/w ? r ?? w ? ? ?? ? ? ??? 1 8 16 ??? ? ? ? ? ??????? ? ?? 2nd sfr ?? ? sfr sfr ?3.2.4 ??? sfr special function register ?
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 44 2014.10.31 3-6 ???? 2nd sfr ? (1/6) ? ?????? ? ???? 2nd sfr r/w ?? 1 8 16 f0010h a/d ???? 2 adm2 r/w ? 00h f0011h ????? adul r/w ? ?ffh f0012h ????? adll r/w ? ? 00h f0013h a/d ?? adtes r/w ? ? 00h f0030h ?? 0 pu0 r/w ? 00h f0031h ?? 1 pu1 r/w ? 00h f0033h ?? 3 pu3 r/w ? 00h f0034h ?? 4 pu4 r/w ? 01h f0035h ?? 5 pu5 r/w ? 00h f003ch ?? 12 pu12 r/w ? 00h f0040h ???? 0 pim0 r/w ? 00h f0041h ???? 1 pim1 r/w ? 00h f0043h ???? 3 pim3 r/w ? 00h f0045h ???? 5 pim5 r/w ? 00h f0050h ???? 0 pom 0 r/w ? 00h f0051h ???? 1 pom1 r/w ? 00h f0053h ???? 3 pom3 r/w ? 00h f0055h ???? 5 pom5 r/w ? 00h f0060h ????? 0 pmc0 r/w ?ffh f006ch ????? 12 pmc12 r/w ?ffh f0070h ?? 0 nfen0 r/w ? 00h f0071h ?? 1 nfen1 r/w ? 00h f0073h ?? isc r/w ? 00h f0074h ??? 0 tis0 r/w ? ? 00h f0076h a/d ?? adpc r/w ? ? 00h f0078h ???? iawctl r/w ? ? 00h f0079h i/o ?? 1 pior1 r/w ? ? 00h f007ah ? 1 per1 r/w ? 00h f007bh ????? pms r/w ? 00h f00a0h ? ?? hiotrm r/w ? ? ? f00a8h ??? ? hocodiv r/w ? ? ?
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 45 2014.10.31 3-6 ???? 2nd sfr ? (2/6) ? ???? 2nd sfr r/w ?? 1 8 16 f00f0h ? 0 per0 r/w ? 00h f00f3h ????? osmc r/w ? ? 00h f00f5h ram ??? rpectl r/w ? 00h f00feh bcd ? bcdadj r ? ? ? f0100h ??? 00 ssr00l ssr00 r ? 0000h f0101h ? ? ? f0102h ??? 01 ssr01l ssr01 r ? 0000h f0103h ? ? ? f0104h ??? 02 ssr02l ssr02 r ? 0000h f0105h ? ? ? f0106h ??? 03 ssr03l ssr03 r ? 0000h f0107h ? ? ? f0108h ?? 00 sir00l sir00 r/w ? 0000h f0109h ? ? ? f010ah ?? 01 sir01l sir01 r/w ? 0000h f010bh ? ? ? f010ch ?? 02 sir02l sir02 r/w ? 0000h f010dh ? ? ? f010eh ?? 03 sir03l sir03 r/w ? 0000h f010fh ? ? ? f0110h ??? 00 smr00 r/w ? ? 0020h f0111h f0112h ??? 01 smr01 r/w ? ? 0020h f0113h f0114h ??? 02 smr02 r/w ? ? 0020h f0115h f0116h ??? 03 smr03 r/w ? ? 0020h f0117h f0118h ??? 00 scr00 r/w ? ? 0087h f0119h f011ah ??? 01 scr01 r/w ? ? 0087h f011bh f011ch ??? 02 scr02 r/w ? ? 0087h f011dh
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 46 2014.10.31 3-6 ???? 2nd sfr ? (3/6) ? ???? 2nd sfr r/w ?? 1 8 16 f011eh ??? 03 scr03 r/w ? ? 0087h f011fh f0120h ???? 0 se0l se0 r 0000h f0121h ? ? ? f0122h ??? 0 ss0l ss0 r/w 0000h f0123h ? ? ? f0124h ???? 0 st0l st0 r/w 0000h f0125h ? ? ? f0126h ??? 0 sps0l sps0 r/w ? 0000h f0127h ? ? ? f0128h ? 0 so0 r/w ? ? 0f0fh f0129h f012ah ? 0 soe0l soe0 r/w 0000h f012bh ? ? ? f0134h ?? 0 sol0l sol0 r/w ? 0000h f0135h ? ? ? f0138h ?? 0 ssc0l ssc0 r/w ? 0000h f0139h ? ? ? f0180h ?? 00 tcr00 r ? ? ffffh f0181h f0182h ?? 01 tcr01 r ? ? ffffh f0183h f0184h ?? 02 tcr02 r ? ? ffffh f0185h f0186h ?? 03 tcr03 r ? ? ffffh f0187h f0190h ???? 00 tm r0 0 r/w ? ? 0000h f0191h f0192h ???? 01 tmr01 r/w ? ? 0000h f0193h f0194h ???? 02 tmr02 r/w ? ? 0000h f0195h f0196h ???? 03 tmr03 r/w ? ? 0000h f0197h f01a0h ???? 00 tsr00l tsr00 r ? 0000h f01a1h ? ? ? f01a2h ???? 01 tsr01l tsr01 r ? 0000h f01a3h ? ? ?
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 47 2014.10.31 3-6 ???? 2nd sfr ? (4/6) ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ?? rd sfr ????? f clk ?? f ih ? trd0en ?1? ?? ? ???? 2nd sfr r/w ?? 1 8 16 f01a4h ???? 02 tsr02l tsr02 r ? 0000h f01a5h ? ? ? f01a6h ???? 03 tsr03l tsr03 r ? 0000h f01a7h ? ? ? f01b0h ????? 0 te0l te0 r 0000h f01b1h ? ? ? f01b2h ???? 0 ts0l ts0 r/w 0000h f01b3h ? ? ? f01b4h ????? 0 tt0l tt0 r/w 0000h f01b5h ? ? ? f01b6h ???? 0 tps0 r/w ? ? 0000h f01b7h f01b8h ?? 0 to0l to0 r/w ? 0000h f01b9h ? ? ? f01bah ?? 0 toe0l toe0 r/w 0000h f01bbh ? ? ? f01bch ??? 0 tol0l tol0 r/w ? 0000h f01bdh ? ? ? f01beh ???? 0 tom0l tom0 r/w ? 0000h f01bfh ? ? ? f0240h ? rj ?? 0 trjcr0 r/w ? ? 00h f0241h ? rj i/o ?? 0 trjioc0 r/w ? 00h f 02 42h ? rj ??? 0 trjmr0 r/w ? 00h f0243h ? rj ?? ? 0 trjisr0 r/w ? 00h f0260h ? rd elc ? trdelc r/w ? 00h ? f0263h ? rd ? trdstr r/w ? ? 0ch ? f0264h ? rd ??? trdmr r/w ? 00h ? f0265h ? rd pwm ? ? trdpmr r/w ? 00h ? f0266h ? rd ??? trdfcr r/w ? 80h ? f0267h ? rd ? 1 trdoer1 r/w ? ffh ? f0268h ? rd ? 2 trdoer2 r/w ? 00h ? f0269h ? rd ?? trdocr r/w ? 00h ? f026ah ? rd ? ?? 0 trddf0 r/w ? 00h ? f026bh ? rd ? ?? 1 trddf1 r/w ? 00h ?
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 48 2014.10.31 3-6 ???? 2nd sfr ? (5/6) ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ?? rd sfr ????? f clk ?? f ih ? trd0en ?1? ?? ? ???? 2nd sfr r/w ?? 1 8 16 f026ch 6 pwm ???? opmr r/w ? ? 00h f026dh 6 pwm ???? opsr r/w ? ? 00h f026eh 6 pwm ? hi-z ? ? ophs r/w ? ? 00h f026fh 6 pwm ? hi-z ?? ? opht r/w ? ? 00h f0270h ? rd ?? 0 trdcr0 r/w ? 00h ? f0271h ? rd i/o ?? a0 trdiora0 r/w ? 00h ? f0272h ? rd i/o ?? c0 trdiorc0 r/w ? 88h ? f0273h ? rd ??? 0 trdsr0 r/w ? 00h ? f0274h ? rd ? 0 trdier0 r/w ? 00h ? f0275h ? rd pwm ? ?? 0 trdpocr0 r/w ? 00h ? f0276h ? rd 0 trd0 r/w ? ? 0000h ? f0277h f0278h ? rd ?? a0 trdgra0 r/w ? ? ffffh ? f0279h f027ah ? rd ?? b0 trdgrb0 r/w ? ? ffffh ? f027bh f0280h ? rd ?? 1 trdcr1 r/w ? 00h ? f0281h ? rd i/o ?? a1 trdiora1 r/w ? 00h ? f0282h ? rd i/o ?? c1 trdiorc1 r/w ? 88h ? f0283h ? rd ??? 1 trdsr1 r/w ? 00h ? f0284h ? rd ? 1 trdier1 r/w ? 00h ? f0285h ? rd pwm ? ?? 1 trdpocr1 r/w ? 00h ? f0286h ? rd 1 trd1 r/w ? ? 0000h ? f0287h f0288h ? rd ?? a1 trdgra1 r/w ? ? ffffh ? f0289h f028ah ? rd ?? b1 trdgrb1 r/w ? ? ffffh ? f028bh f02f0h crc ?? crc0ctl r/w ? 00h
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 49 2014.10.31 3-6 ???? 2nd sfr ? (6/6) ? sfr sfr ? 3-5 ??? sfr ? ? ? ???? 2nd sfr r/w ?? 1 8 16 f02f2h crc ? pgcrcl r/w ? ? 0000h f02fah crc ?? crcd r/w ? ? 0000h f0300h ???? 00 elselr00 r/w ? ? 00h f0301h ???? 01 elselr01 r/w ? ? 00h f0302h ???? 02 elselr02 r/w ? ? 00h f0303h ???? 03 elselr03 r/w ? ? 00h f0304h ???? 04 elselr04 r/w ? ? 00h f0305h ???? 05 elselr05 r/w ? ? 00h f0307h ???? 07 elselr07 r/w ? ? 00h f0308h ???? 08 elselr08 r/w ? ? 00h f0309h ???? 09 elselr09 r/w ? ? 00h f030ah ???? 10 elselr10 r/w ? ? 00h f030bh ???? 11 elselr1 1 r /w ? ? 00h f030ch ???? 12 elselr12 r/w ? ? 00h f030dh ???? 13 elselr13 r/w ? ? 00h f0310h ???? 16 elselr16 r/w ? ? 00h f0311h ???? 17 elselr17 r/w ? ? 00h f0312h ???? 18 elselr18 r/w ? ? 00h f0340h ????? compmdr r/w ? 00h f0341h ???? compfir r/w ? ? 00h f0342h ??? compocr r/w ? 00h f0343h ???? ? cvrctl r/w ? 00h f0344h ????? ? 0 c0rvm r/w ? ? 00h f0345h ????? ? 1 c1rvm r/w ? ? 00h f0346h pga ?? pgactl r/w ? 00h f0500h ? rj ? 0 trj0 r/w ? ? ffffh f0501h
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 50 2014.10.31 3.3 ???? 3.3.1 ?? ? ???? ??? ?128 +127 ?32768 +32767 ? pc ? ????? pc ????? ????? ? 3-13 ???? 3.3.2 ?? ? ????????? ??? 20 ? call !!addr20/br !!addr20 ? 16 ? call !addr16/br !addr16 ? 16 ?? 4 ?0000? ? 3-14 call !!addr20/br !!addr20 ? 3-15 call !addr16/br !addr16 op code pc displace 8/16! op code pc low addr. high addr. seg addr. op code pc s low addr. high addr. pc pc h pc l 0000
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 51 2014.10.31 3.3.3 ?? ? ???? 5 ? callt 0080h 00bfh ??? ??? 16 ? pc ????? callt ? rl78 ?? 00000h 0ffffh 64k ????? ? 3-16 ???? 3.3.4 ???? ? ??????????? ax/bc/de/hl cs ?? 20 ? pc ??????? call ax/bc/de/hl br ax ? ? 3-17 ?????? low addr. high addr. 0 0000 op code 00000000 10 ><   pc s pc pc h pc l ,| < op code pc s pc pc h pc l cs rp
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 52 2014.10.31 3.4 ???? 3.4.1 ?? ? ?????????????????? ? ????????? ??? mulu x ? ? 3-18 ???? 3.4.2 ??? ? ???????????? 8 ???? 3 ??? 16 ???? 2 ?? ? ? 3-19 ????? ? r x a c b e d l h rp ax bc de hl a ?, < op code ,| < (?, <  3) ?, < op code ,| < (?, <4?  3 )
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 53 2014.10.31 3.4.3 ??? ? ???????????? ? ? 3-20 addr16 ? 3-21 es:addr16 ? !addr16 ? 16 ?? f0000h fffffh ??? es:!addr16 ? 16 ? es ?? 4 ? f0000h 7*16!  ?/f0000h  fffffh ,x64k+8v  3y,xb5,| <? (*b2nd sfr 1,x, a) mov !addr16, a ??-? 7 7 op-code low addr. high addr. fffffh b5,| < ,| < 00000h x0000h op-code low addr. high addr. es 7 * es?, <?n1m +8v0nk ,x(
?t64k +8v  3) p?4!?a 8 * 16!  ?/ +7?n,xx0000h xffffh  3 ,x b5,| < ? (*bk0 1,x ?ndb, a) x0000 xffffh ,x  3 es: !addr16 64k  3,x ?n ,|  ,x ?n 78 8 fffffh ??-? b5,| < ,| <
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 54 2014.10.31 3.4.4 ??? ? ????? 8 ?????????? ffe20h fff1fh ??? ? ? 3-22 ????? ? saddr saddrp ? 16 ????? 4 fe20h ff1fh ??? 20 ffe20h fff1fh ? ??? ffe20h fff1fh ?? ? saddr ? ffe20h fff1fh 0fe20h 0ff1fh ?? ffe20h fff1fh ??? saddrp ? ffe20h fff1fh 0fe20h 0ff1fh ??? ?? ffe20h fff1fh ??? op code ,| < saddr fff1fh ffe20h saddr
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 55 2014.10.31 3.4.5 sfr ?? ? sfr ???? 8 ?? sfr ???????? fff00h fffffh ??? ? ? 3-23 sfr ???? ? sfr sfr ? sfrp 16 ? sfr ? ??? op code ,| < sfr fffffh fff00h sfr
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 56 2014.10.31 3.4.6 ??? ? ???????????? ? ? 3-24 [de] [hl] ? 3-25 es:[de] es:[hl] ? ? [de] [hl] ?? f0000h fffffh ??? ? es:[de] es:[hl] ? es ?? 4 ? fffffh f0000h op-code rp(hl/de) 7* ?, < ?/f0000h  fffffh ,x 64k+8v  3 ,x b5,| <? [de], [hl] 77 7 7 ,|  ,x ?n ??-? b5,| < ,| < fffffh 00000h x0000h es op-code rp(hl/de) es: [de] es: [hl] 78 8 8 7 77 8 x0000  xffffh ,x  3 7 * es?, <?n1m +8v0nk ,x(
?t64k +8v  3) p?4!?a 8 *?, <?/ +7?n,xx0000h xffffh  3 ,x b5,| < ? 64k  3,x ?n ,|  ,x ?n ??-? b5,| < ,| <
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 57 2014.10.31 3.4.7 ??? ? ????????? 16 ?? 8 16 ?? ?????? ? ? 3-26 [sp+byte] ? ? [hl+byte] [de+byte] [sp+byte] ?? f0000h fffffh ??? ? word[b] word[c] ?? f0000h fffffh ??? ? word[bc] ?? f0000h fffffh ??? ? es:[hl+byte] es:[de+byte] ? es ?? 4 ? ? es:word[b] es:word[c] ? es ?? 4 ? ? es:word[bc] ? es ?? 4 ? fffffh f0000h sp   7 7 byte 8 8 7?nsp1  b5?a e?e?8,x byte?n'! ?j\? ?,x   (nj)?? ,x#/?g ?/b5,| < ( sp+byte )? #/?g   ,x ?n ??-? b5,| < ,| <
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 58 2014.10.31 ? 3-27 [hl+byte] [de+byte] ? 3-28 word[b] word[c] ? 3-29 word[bc] fffffh f0000h rp(hl/de) [hl + byte], [de + byte] d4?,x   ja,xdbd4? op-code byte 78 8 7 8 78 *7,x?, <?nf0000h  fffffh ,x 64k+8v0nk y,xdbd4? (,xck?  ) ? e?e?8,xbyte?nd4?,x#/?g?/b5,| <? b5,x dbd4? #/?g ??-? b5,| < ,| < f0000h r(b/c) fffffh word [b], word [c] op-code low addr. high addr. 7 ?nf0000h fffffh ,x 64k 8v0nky ,x dbd4? word ( ,xck?  )? e?e?8,x?, <?n d4?,x#/?g?/b5,| <? 7 78 78 8 8 d4?word,x   dbd4? word #/?g ??-? b5,| < ,| < fffffh f0000h rp(bc) word [bc] op-code low addr. high addr. 7?nf0000h fffffh ,x 64k 8v0nky ,x dbd4? word ( ,xck?  )? e?e?8,x?, <  ?n d4?,x#/?g?/b5,| <? 7 7 78 8 8 d4?word,x   dbd4? word #/?g ??-? b5,| < ,| <
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 59 2014.10.31 ? 3-30 es:[hl+byte] es:[de+byte] ? 3-31 es:word[b] es:word[c] xffffh x0000h rp(hl/de) x0000h es es: [hl + byte] es: [de + byte] 7 * es ?, <?n 1m +8v0nk,x (
?t64k+8v  3) ap?4!? 8 *?, <?n 7,x64k+8v0nky,x dbd4? ( ,xck?  )? e?e? 9,xbyte ?nd4?,x#/?g  ?/b5,| <? op-code byte 9 64k  3,x?n 9 7 78 9 9 7 7 8 8 8 d4?,x   ja,xdbd4? b5,x dbd4? #/?g ??-? b5,| < ,| < xffffh x0000h r(b/c) x0000h es es: word [b]  es: word [c] 7*es?, <?n1m+8v0nk,x(
?t64k+8v  3)p?4!? 8?n7,x64k+8v0nky,xdb d4? word( ,xck?  )? e?e? 9,x?, < ?nd4?,x#/?g  ?/b5,| <? 64k  3,x?n 78 8 99 9 9 7 7 7 8 8 op-code low addr. high addr. d4?word,x   dbd4? word #/?g ??-? b5,| < ,| <
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 60 2014.10.31 ? 3-32 es:word[bc] x0000h rp(bc) x0000h es es: word [bc] op-code low addr. high addr. 9 9 7 78 8 9 7 8 xffffh 7*es?, <?n1m+8v0nk,x(
?t64k+8v  3)p?4!? 8?n7,x64k+8v0nky,xdb d4? word( ,xck?  )? e?e? 9,x?, < ?nd4?,x#/?g  ?/b5,| <? 64k  3,x?n d4?word,x   dbd4? word #/?g ??-? b5,| < ,| <
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 61 2014.10.31 3.4.8 ???? ? ???????????? b ? c ??? ?????????? ? ? 3-33 [hl+b] [hl+c] ? 3-34 es:[hl+b] es:[hl+c] ? ? [hl+b] [hl+c] ?? f0000h fffffh ??? ? es:[hl+b] es:[hl+c] ? es ?? 4 ? fffffh f0000h rp(hl) [hl +b] a [hl+c] r(b/c) op-code 78 7 7 8 8 *7,x?, <?nf0000h  fffffh ,x 64k+8v0nky ,xdbd4? (,xck?  ) ? e?e?8,x?, <?nd4?,x#/?g?/b5,| <? d4?,x   b5,x dbd4? #/?g ??-? b5,| < ,| < ja,xdbd4? x0000h rp(hl) x0000h es es: [hl +b]  es: [hl +c] r(b/c) op-code byte xffffh 9 7*es?, <?n1m+8v0nk,x(
?t64k+8v  3)p?4! ? 8 *?, <?n7,x64k+8v0nky,xdb d4? (,xck?  ) ? e?e? 9,x?, < ?nd4?,x#/?g  ?/b5,| <? 9 9 7 78 9 9 7 7 8 8 8 64k  3,x?n d4?,x   #/?g ??-? b5,| < ,| < b5,x dbd4? ja,xdbd4?
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 62 2014.10.31 3.4.9 ??? ? ?????? sp ??????? push pop ? ??? / ???????? ?????? ram ? ????? ? 3-35 ? 3-40 ? ? 3-35 push rp ? ? push ax/bc/de/hl pop ax/bc/de/hl call/callt ret brk retb reti rp,xp?!+8v sp f0000h push rp rp,x"!+8v sp?1 sp?2 rp sp op-code 7 7 8 8 9 7?n ? ? 8?n,x?, <,xp?!+8v,  sp ? 1 j "!+8v,  sp ? 2 ? 9sp,x2 ? a ( rp psw,x???psw,x,sp ? 1 5 sp ? 2  ?0? )   ??-? ,| <
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 63 2014.10.31 ? 3-36 pop ? 3-37 call callt ( sp+1 ) sp f0000h pop rp (sp) sp+1 sp sp sp+2 rp op-code 7 7 8 8 7?n ? ? 8?n,x?, <,x"!+8v,  spj p?!+8v,  sp+ 1 ? 9sp,xt2 ? a ( rp psw,x???  sp+1,xy?,psw )   ??-? ,| < call 00h sp f0000h pc sp sp?1 sp?2 sp?3 sp?4 op-code 7 7 8 9 7?n ? ?pc? ?call??,x??t??  ? 8  sp ? 1  ?00h?pc19 16,x,  sp ? 2  apc15 8 ,x,  sp ? 3 jpc7 0 ,x ,  sp ? 4 ? 9sp,x4 ? pc19 pc16 pc15 pc8 pc7 pc0   ??-? ,| <
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 64 2014.10.31 ? 3-38 ret ? 3-39 ? brk ret (sp+3) sp f0000h (sp+2) sp+3 sp+2 pc sp (sp+1) (sp) sp+1 sp sp+4 op-code 7 7 8 9 7?n ? ? 8  sp,xy?,pc7 0   sp+1,xy?, pc15 8 j  sp+2,xy?,pc19 16? 9sp,xt4 ?   ??-? ,| < psw sp f0000h pc19 pc16 pc sp pc15 pc8 pc7 pc0 or psw sp?1 sp?2 sp?3 sp?4 op-code 7 8 8 9 7?n ? ?pc? ?brk??5y ??,x?? t??  ? 8psw,x,  sp ? 1 pc19 16,x,   sp ? 2 pc15 8 ,x,   sp ? 3 j pc7 0 ,x,  sp ? 4 ? 9sp,x4 ?   ??-? ? ,| <
R7F0C01592esn r7f0c01692esn 3 cpu ?? r01uh0453cj0210 rev.2.10 65 2014.10.31 ? 3-40 reti retb retiretb sp f0000h pc sp psw (sp+3) (sp+2) sp+3 sp+2 (sp+1) (sp) sp+1 sp sp+4 op-code 7 7 8 9 7?n ? ? 8  sp,xy?,pc7 0   sp+1,xy?, pc15 8   sp+2,xy?,pc19 16j   sp+3,xy?,psw? 9sp,xt4 ?   ??-? ,| <
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 66 2014.10.31 4 ?? 4.1 ?? R7F0C015 r7f0c016 ? / ?????? ? / ????????? ? 2 ? ? 4.2 ??? ?? 4-1 ??? ? ? ?? ???? pm0 pm6 pm12 ??? p0 p6 p12 p13 ?? pu0 pu1 pu3 pu5 pu12 ???? pim0 pim1 pim3 pim5 ???? pom0 pom1 pom3 pom5 ????? pmc0 pmc12 a/d ?? adpc i/o ?? pior1 ? ??24 cmos / 21 cmos ?3 ??17
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 67 2014.10.31 4.2.1 ? 0 ? 0 ? / ??????? 0 pm0 ???? ?? p00 ? p01 ????? 0 pu0 ?? ? ?????? 0 pim0 ? p01 ???? ttl ?^ ????? 0 pom0 ? p00 ??? n ? v dd ? p00 ? p01 ??????? 0 pmc0 ??? ?? ? 0 ??? / a/d ????? / ? / ? pga ? ?????? 0 ??? ? ? 4-2 ??? 0 ???? ? 1. ????????????? m som somn ? m soem soemn ????? m sem semn ??? mn=02 2. ????????????????? m tom tomn ??? m toem toemn ??? m=0 n=0 3. ? rj ?? / ???????? rj ??? 0 trjmr0 tmod2 0 ??? ?001b? ? 4. ?? i/o ?? 1 pior1 ( ) ??? ? pm0x ???? 0 pim0x ???? 0 pom0x ???? 0 pmc0x ????? 0 pm0x pim0x pom0x pmc0x ?? ? 4 ? / p00 1 ? 0 000 txd1 =1 ? 1 trjo0=0 cmos 010 n ? p01 10 ? 0 cmos 11 0 ttl 0 0 to00 =0 ? 2 trjio0 =0 ? 3
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 68 2014.10.31 ? 4-1 p00 ?? p0 ??? 0 pu0 ?? 0 pm0 ???? 0 pom0 ???? 0 pmc0 ????? 0 pms ????? rd ? wrxx ? pu00 p00/ani17/ti00/txd1 / cmp0p wr pu rd wr port wr pm v dd p-ch pu0 p0 pom00 pom0 wr pom pmc00 wr pmc pmc0 pms0 (txd1) wr pms pms pm00 pm0 a/d e@6 <? !ew < eg?j?, < (p00) *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 69 2014.10.31 ? 4-2 p01 ?? p0 ??? 0 pu0 ?? 0 pm0 ???? 0 pim0 ???? 0 pmc0 ????? 0 pms ????? rd ? wrxx ? p01/ani16/to00/ rxd1/trjio0/pgai pu01 wr pu rd wr port v dd p-ch pu0 p0 wr pm pm0 cmos ttl pim01 pim0 wr pim pmc01 wr pmc pmc0 pm01 pms0 wr pms (to00) pms (trjio0) a/d e@6 <? ?4/?r,?? < *s6? *s6? eg?j?, < (p01) *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 70 2014.10.31 4.2.2 ? 1 ? 1 ? / ??????? 1 pm1 ???? ?? p10 p16 ????? 1 pu1 ?? ?????? 1 pim1 ? p10 p15 p16 ???? ttl ?^ ????? 1 pom1 ? p10 ? p15 ??? n ? v dd ? ? 1 ???? / ? / ??? / ?????? 1 ??? 4-3 ??? 1 ???? pm1x pim1 x pom1 x ?? ? / p10 10 cmos 11 ttl 0 0 trdiod1 =0 ? 1 cmos 0 1 n ? p11 1 ? ? 0 trdioc1 =0 ? 1 cmos p12 1 ? ? 0 trdiob1 =0 ? 1 p13 1 ? ? 0 trdioa1 =0 ? 1 cmos p14 1 ? ? cmos 0 trdiod0 =0 ? 1 cmos p15 10 cmos 11 ttl 0 0 pclbuz1 =0 ? 2 trdiob0 =0 ? 1 cmos 0 1 n ? p16 10 ? cmos 11 ttl 0 to01 =0 ? 3 trdioc0 =0 ? 1
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 71 2014.10.31 ? 1. ? rd ???????? rd ? 1 trdoer1 trdioij ???? i=a b c d j=0 1 ? ij=a0 2. ? / ?????????? i cksi pcloei ? ?? i=1 3. ????????????????? m tom tomn ??? m toem toemn ??? m=0 n=1 ? pm1x ???? 1 pim1x ???? 1 pom1x ???? 1
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 72 2014.10.31 ? 4-3 p10 ?? p1 ??? 1 pu1 ?? 1 pm1 ???? 1 pim1 ???? 1 pom1 ???? 1 pms ????? rd ? wrxx ? pu10 p10/ trdiod1 wr pu rd wr port v dd p-ch pu1 p1 wr pm pm1 pom10 pom1 wr pom cmos ttl pim10 pim1 wr pim pms0 (trdiod1) wr pms pms pm10 eg?j?, < (p10) *s6? *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 73 2014.10.31 ? 4-4 p11 ?? p1 ??? 1 pu1 ?? 1 pm1 ???? 1 pms ????? rd ? wrxx ? pu11 p11/trdioc1 wr pu rd wr port v dd p-ch pu1 p1 wr pm pm1 pms0 (trdioc1) wr pms pms pm11 eg?j?, < (p11) *s6? *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 74 2014.10.31 ? 4-5 p12 ?? p1 ??? 1 pu1 ?? 1 pm1 ???? 1 pms ????? rd ? wrxx ? pu12 p12/trdiob1 wr pu rd wr port v dd p-ch pu1 p1 pm12 wr pm pm1 pms0 (trdiob1) wr pms pms eg?j?, < (p12) *s6? *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 75 2014.10.31 ? 4-6 p13 ?? p1 ??? 1 pu1 ?? 1 pm1 ???? 1 pms ????? rd ? wrxx ? p13/trdioa1 pu13 wr pu rd wr port v dd p-ch pu1 p1 wr pm pm1 pms0 (trdioa1) wr pms pms pm13 eg?j?, < (p13) *s6? *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 76 2014.10.31 ? 4-7 p14 ?? p1 ??? 1 pu1 ?? 1 pm1 ???? 1 pim1 ???? 1 pms ????? rd ? wrxx ? p14/trdiod0 pu14 wr pu rd wr port v dd p-ch pu1 p1 wr pm pm1 cmos ttl pim14 pim1 wr pim pms0 (trdiod0) wr pms pms pm14 eg?j?, < (p14) *s6? *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 77 2014.10.31 ? 4-8 p15 ?? p1 ??? 1 pu1 ?? 1 pm1 ???? 1 pim1 ???? 1 pom1 ???? 1 pms ????? rd ? wrxx ? p15/pclbuz1/ trdiob0 pu15 wr pu rd wr port v dd p-ch pu1 p1 wr pm pm1 pom15 pom1 wr pom cmos ttl pim15 pim1 wr pim pms0 (pclbuz1) wr pms (trdiob0) pms pm15 eg?j?, < (p15) *s6? *s6? *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 78 2014.10.31 ? 4-9 p16 ?? p1 ??? 1 pu1 ?? 1 pm1 ???? 1 pim1 ???? 1 pms ????? rd ? wrxx ? p16/ti01/to01/ intp5/trdioc0 pu16 wr pu rd wr port v dd p-ch pu1 p1 cmos ttl pim16 pim1 wr pim wr pm pm1 pm16 pms0 (to01) wr pms pms (trdioc0) eg?j?, < (p16) *s6? *s6? *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 79 2014.10.31 4.2.3 ? 2 ? 2 ? / ??????? 2 pm2 ???? ?? ? 2 ?? a/d ??? a/d ????? + ? a/d ? ???? ? ? p20/ani0/av refp p21/ani1/av refm p22/ani2 p23/ani3 ?? a/d ? ? adpc ?? / ? pm2 ???????? p20/ani0/av refp p21/ani1/av refm p22/ani2 p23/ani3 ?? adpc ? ?? / ? pm2 ????? p20/ani0/av refp p21/ani1/av refm p22/ani2 p23/ani3 ? / ?? a/d ?? adpc ??? / ? pm2 ???????? 4-4 ??? 2 ???? ? 1. pm2x ???? 2 adpc a/d ?? 2. n=0 3 4-5 p20/ani0 p23/ani3 ??? ??? p20/ani0 p23/ani3 ??? pm2x adpc ?? ? / p2n 1 01 n+1h ? ??? ?? 0 01 n+1h adpc ? pm2 ? ads ? p20/ani0 p23/ani3 / ? ?? ? ?? ? ?? ?? ? ani ? ? ? ani ? ? ?? ? ani ?? ? ani
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 80 2014.10.31 ? 4-10 p20 p21 p22 p23 ?? p2 ??? 2 pm2 ???? 2 pms ????? rd ? wrxx ? pm20  pm23 wr port wr pm pm2 p2 p20/ani0/av refp ? p21/ani1/av refm ? p22/ani2 ? p23/ani3 wr pms pms0 pms wr adpc adpc3  adpc0 adpc 0??3eg9 1?d+eg9eg? rd a/d e@6 < eg?j?, < (p20p23) ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 81 2014.10.31 4.2.4 ? 3 ? 3 ? / ??????? 3 pm3 ???? ?? p30 ? p31 ????? 3 pu3 ?? ? ?????? 3 pim3 ? p30 ? p31 ???? ttl ?^ ????? 3 pom3 ? p30 ??? n ? v dd ? ? 3 ?????? / ??? / ?? / ?????? 3 ??? ? 3 ?? ? 4-11 ? 4-12 ? 4-6 ??? 3 ???? ? 1. ??????? ?????? m som ckomn ? m soem soemn ????? m sem semn ??? mn=00 2. ? rj ?????????? rj i/o ?? 0 trjioc0 bit2 toena ?? 3. ????????????????? m tom tomn ??? m toem toemn ??? m=0 n=3 4. ? / ?????????? i cksi pcloei ? ?? i=0 5. i/o ?? 1 pior1 pior11 pior10 ? ?01b? ?? rj ?? / ???????? rj ??? 0 trjmr0 tmod2 0 ??? ?0 01b? 6. ?? i/o ?? 1 pior1 ( ) ??? ? pm3x ???? 3 pim3x ???? 3 pom3x ???? 3 pm3 x pim3 xpom3x ?? ? 6 ? / p30 1 0 cmos 11 ttl 0 0 sck00 /scl00 =1 ? 1 trjo0 =0 ? 2 cmos 0 1 n ? p31 1 ? cmos 1? ttl 0 ?? to03 =0 ? 3 pclbuz0 =0 ? 4 trjio0 =0 ? 5
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 82 2014.10.31 ? 4-11 p30 ?? p3 ??? 3 pu3 ?? 3 pm3 ???? 3 pim3 ???? 3 pom3 ???? 3 pms ????? rd ? wrxx ? p30/intp3/ sck00/scl00 / trjo0 pu30 wr pu rd wr port v dd p-ch pu3 p3 wr pm pm3 pom30 pom3 wr pom cmos ttl pim30 pim3 wr pim pms0 (sck00/scl00) wr pms (trjo0) pms pm30 eg?j?, < (p30) *s6? *s6? *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 83 2014.10.31 ? 4-12 p31 ?? p3 ??? 3 pu3 ?? 3 pm3 ???? 3 pim3 ???? 3 pms ????? rd ? wrxx ? p31/ti03/to03/ intp4/pclbuz0 / ssi00 pu31 wr pu rd wr port v dd p-ch pu3 p3 wr pm pm3 pm31 pms0 (to03) wr pms pms (pclbuz0) eg?j?, < (p31) *s6? *s6? *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 84 2014.10.31 4.2.5 ? 4 ? 4 ? / ??????? 4 pm4 ???? ?? p40 ????? 4 pu4 ??? ? 4 ?? / / ?????? 4 ??? 4-7 ??? 4 ???? ? ?? i/o ?? 1 pior1 ( ) ??? ? ??? p40 ?? ? pm4x ???? 4 pim4x ???? 4 pom4x ???? 4 pm4x pim4 x pom4 x ?? ? ? / p40 1 ?? 0
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 85 2014.10.31 ? 4-13 p40 ?? p4 ??? 4 pu4 ?? 4 pm4 ???? 4 pms ????? rd ? wrxx ? p40/tool0 rd wr port wr pm pm4 p4 wr pu v dd p-ch pu4 pu40 pm40 pms0 (tool0) wr pms pms eg?j?, < (p40) *s6? *s6? ey? < ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 86 2014.10.31 4.2.6 ? 5 ? 5 ? / ??????? 5 pm5 ???? ?? p50 ? p51 ????? 5 pu5 ?? ? ?????? 5 pim5 ? p50 ???? ttl ?^ ????? 5 pom5 ? p50 ? p51 ??? n ? v dd ? ? 5 ??????? / ? uart ???? ?????? 5 ??? 4-8 ??? 5 ???? ? 1. ??????? ?????? m som ckomn ? m soem soemn ????? m sem semn ??? mn=00 2. ????????????? m som somn ? m soem soemn ????? m sem semn ??? mn=00 3. ?? i/o ?? 1 pior1 ( ) ??? ? pm5x ???? 5 pim5x ???? 5 pom5x ???? 5 pm5 x pim5 x pom5 x ?? ? 3 ? / p50 1 0 cmos 11 ttl 0 0 sda00 =1 ? 1 trjo0 =0 cmos 0 1 n ? p51 1 ? 0 0 so00/txd0 =1 ? 2 cmos 01 n ?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 87 2014.10.31 ? 4-14 p50 ?? p5 ??? 5 pu5 ?? 5 pm5 ???? 5 pim5 ???? 5 pom5 ???? 5 pms ????? rd ? wrxx ? p50/intp1/si00/ rxd0/toolrxd / sda00 pu50 wr pu rd wr port v dd p-ch pu5 p5 wr pm pm5 pom50 pom5 wr pom cmos ttl pim50 pim5 wr pim pms0 (sda00) wr pms pms pm50 eg?j?, < (p50) *s6? *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 88 2014.10.31 ? 4-15 p51 ?? p5 ??? 5 pu5 ?? 5 pm5 ???? 5 pom5 ???? 5 pms ????? rd ? wrxx ? p51/intp2/ so00/txd0 / tooltxd pu51 wr pu rd wr port v dd p-ch pu5 p5 wr pm pm5 pom51 pom5 wr pom pms0 (so00/txd0) wr pms pms pm51 eg?j?, < (p51) *s6? *s6? ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 89 2014.10.31 4.2.7 ? 6 ? 6 ? / ??????? 6 pm6 ???? ??? 6 ????? 6 pu6 ??? ? 6 ????? ?????? 6 ??? 4-9 ??? 6 ???? ? pm6x ???? 6 pm6x ?? ? / p60 1 0 p61 1 0
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 90 2014.10.31 ? 4-16 p60 p61 ?? p6 ??? 6 pm6 ???? 6 pms ????? rd ? wrxx ? rd wr port wr pm pm6 p6 p60?p61 pm60 ? pm61 pms0 wr pms pms v dd p-ch pu eg?j?, < (p60?p61) ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 91 2014.10.31 4.2.8 ? 12 p120 ? 1 / ??????? 12 pm12 ??? ???? 12 ????? 12 pu12 ?? p121 p122 4 ?? p120 ??????? 12 pmc12 ??? ? ? ? 12 ?? a/d ???????????? ?????? ??? p120 ??? p121 p122 ??? 4-10 ??? 12 ???? ? ??? 1 p121 p122 ???????2 ?? ? pm12x ???? 12 pmc12x ????? 12 pm12x pmc12x ?? ? / p120 10 00 p121 ?? cmc ? oscsel =0 exclk =1 p122 ?? cmc ? oscsel =0
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 92 2014.10.31 ? 4-17 p120 ?? p12 ??? 12 pu12 ?? 12 pm12 ???? 12 pmc12 ????? 12 pms ????? rd ? wrxx ? pu120 p120/ani19 / cmp1p wr pu rd wr port wr pm v dd p-ch pu12 pm12 p12 pmc120 wr pmc pmc12 pm120 pms0 wr pms pms a/d e@6 <? !ew < eg?j?, < (p120) ey? < yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 93 2014.10.31 ? 4-18 p121 p122 ?? cmc ????? rd ? p122/x2/exclk rd oscsel cmc p121/x1 rd exclk cmc js *+ c? yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 94 2014.10.31 4.2.9 ? 13 p137 1 ???? p137 ???? ? 13 ????? 4-11 ??? 13 ???? ? ? 4-19 p137 ?? rd ? ?? ? / p137 rd p137/intp0 *s6? yf?4?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 95 2014.10.31 4.3 ?????? ?????? ? ???? pmxx ? ??? pxx ? ?? puxx ? ???? pimx ? ???? pomx ? ????? pmcxx ?a/d ?? adpc ? i/o ?? 1 pior1 ? ????????? 4-12 ???? 4-12 ? pmxx pxx puxx pimxx pomxx pmcxx ? (1/3) ? pmxx ? pxx ? puxx ? pimxx ? pomxx ? pmcxx ? ? 0 0 pm00 p00 pu00 ? pom00 pmc00 1 pm01 p01 pu01 pim01 ? pmc01 2?????? 3?????? 4?????? 5?????? 6?????? 7?????? ? 1 0 pm10 p10 pu10 pim10 pom10 ? 1 pm11 p11 pu11 ? ? ? 2pm12 p12 pu12 ? ? ? 3pm13 p13 pu13 ? ? ? 4pm14 p14 pu14 ? ? ? 5 pm15 p15 pu15 pim15 pom15 ? 6 pm16 p16 pu16 pim16 ? ? 7?????? ? 2 0p m 2 0p 2 0???? 1p m 2 1p 2 1???? 2p m 2 2p 2 2???? 3p m 2 3p 2 3???? 4?????? 5?????? 6?????? 7??????
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 96 2014.10.31 4-12 ? pmxx pxx puxx pimxx pomxx pmcxx ? (2/3) ? pmxx ? pxx ? puxx ? pimxx ? pomxx ? pmcxx ? ? 3 0 pm30 p30 pu30 pim30 pom30 ? 1pm31 p31 pu31 ? ? ? 2?????? 3?????? 4?????? 5?????? 6?????? 7?????? ? 4 0pm40 p40 pu40 ? ? ? 1?????? 2?????? 3?????? 4?????? 5?????? 6?????? 7?????? ? 5 0 pm50 p50 pu50 pim50 pom50 ? 1 pm51 p51 pu51 ? pom51 ? 2?????? 3?????? 4?????? 5?????? 6?????? 7?????? ? 6 0pm60 p60 pu60 ? ? ? 1pm61 p61 pu61 ? ? ? 2?????? 3?????? 4?????? 5?????? 6?????? 7??????
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 97 2014.10.31 4-12 ? pmxx pxx puxx pimxx pomxx pmcxx ? (3/3) ???? ? pmxx ? pxx ? puxx ? pimxx ? pomxx ? pmcxx ? ? 12 0 pm120 p120 pu120 ? ? pmc120 1?p 1 2 1???? 2?p 1 2 2???? 3?????? 4?????? 5?????? 6?????? 7?????? ? 13 0?????? 1?????? 2?????? 3?????? 4?????? 5?????? 6?????? 7?p 1 3 7????
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 98 2014.10.31 4.3.1 ???? pmxx ??? / ?? ? 1 8 ??????? ?????? ?ffh? ??? ? 4.5 ???????? ? ? 4-20 ?????? ? ???? 76543210 ? r/w pm0111111pm01pm00fff20hffhr/w pm1 1 pm16 pm15 pm14 pm13 pm12 pm11 pm10 fff21h ffh r/w pm2 1 1 1 1 pm23 pm22 pm21 pm20 fff22h ffh r/w pm3111111pm31pm30fff23hffhr/w pm41111111pm40fff24hffhr/w pm5111111pm51pm50fff25hffhr/w pm6111111pm61pm60fff26hffhr/w pm121111111pm120fff2chffhr/w pmmn pmn ? / ??? m=0 6 12 n=0 6 0 ?? on 1 ?? off
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 99 2014.10.31 4.3.2 ??? pxx ????? ?????????? ? ? 1 8 ?????? ?????? ?00h? ?? p00 p01 p20 p23 p120 ?? a/d ???????? ????? ?0? ? 4-21 ????? ? ???? ? p121 p122 p137 ? 76543210 ? r/w p0000000p01p00fff00h 00h r/w p1 0 p16 p15 p14 p13 p12 p11 p10 fff01h 00h r/w p2 0 0 0 0 p23 p22 p21 p20 fff02h 00h r/w p3000000p31p30fff03h 00h r/w p40000000p40fff04h 00h r/w p5000000p51p50fff05h 00h r/w p6000000p61p60fff06h 00h r/w p1200000 p122 ? p121 ? p120 fff0ch ? r/w ? p13 p137 ? 0000000 fff0dh ? r/w ? pmn m=0 6 12 13 n=0 7 ?? ?? ??? ?? 0 ?0? ?? 1 ?1? ??
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 100 2014.10.31 4.3.3 ?? puxx ?????????????? ?????????????? ???????? ? 1 8 ????? ?????? ?00h? ? pu4 ? ?01h? ? 4-22 ???? ? ???? 76543210 ? r/w pu0 0 0 0 0 0 0 pu01 pu00 f0030h 00h r/w pu1 0 pu16 pu15 pu14 pu13 pu12 pu11 pu10 f0031h 00h r/w pu3 0 0 0 0 0 0 pu31 pu30 f0033h 00h r/w pu4 0 0 0 0 0 0 0 pu40 f0034h 01h r/w pu5 0 0 0 0 0 0 pu51 pu50 f0035h 00h r/w pu6 0 0 0 0 0 0 pu61 pu60 f0036h 00h r/w pu120000000pu120f003ch00hr/w pumn pmn ??? m=0 1 3 6 12 n=0 6 0 ? 1 ?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 101 2014.10.31 4.3.4 ???? pimxx ????? ??????? ttl ? ? 1 8 ??????? ?????? ?00h? ? 4-23 ?????? ? ???? 76543210 ? r/w pim0 0 0 0 0 0 0 pim01 0 f0040h 00h r/w pim1 0 pim16 pim15 0 0 0 0 pim10 f0041h 00h r/w pim3 0 0 0 0 0 0 0 pim30 f0043h 00h r/w pim5 0 0 0 0 0 0 0 pim50 f0045h 00h r/w pimmn pmn ??? m=0 1 3 5 n=0 1 5 6 0 ?? 1 ttl ?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 102 2014.10.31 4.3.5 ???? pomxx ?? p00 p10 p15 p30 p50 p51 ???? ???????? i 2 c ??? sda00 ? n ? v dd ??? pomxx ? puxx ????? ? 1 8 ??????? ?????? ?00h? ? ? n ? v dd ??? pommn=1 ? ? 4-24 ?????? ? ???? ? f0050h 00h r/w 76543210 p o m 00000000p o m 0 0 ? f0051h 00h r/w 76543210 pom1 0 0 pom15 0 0 0 0 pom10 ? f0053h 00h r/w 76543210 p o m 30000000p o m 3 0 ? f0055h 00h r/w 76543210 p o m 5000000p o m 5 1p o m 5 0 pommn pmn ?? m=0 1 3 5 n=0 1 5 0 ??? 1 n ? v dd ???
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 103 2014.10.31 4.3.6 ????? 0 12 pmcxx ??? p00 p01 p120 / ?? ? 1 8 ??? pmc0 ? pmc12 ? ?????? ?ffh? ? 4-25 ??????? ? ???? ? f0060h ffh r/w 76543210 p m c 0111111p m c 0 1p m c 0 0 ? f006ch ffh r/w 76543210 pmc12 1 1 1 1 1 1 1 pmc120 pmcmn pmn ? / ?? m=0 12 n=0 1 0 / ??? 1 ?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 104 2014.10.31 4.3.7 a/d ?? adpc ?? p20/ani0 p21/ani1 p22/ani2 p23/ani3 ? a/d ????? / ? 8 ??? adpc ? ????????? ?00h? ? 4-26 a/d ?? adpc ?? ? 1. adpc ?????????????? 2 pm2 ???? 2. adpc ??? / ?????? ads ? 3. ? av refp av refm ?? ani0 ani1 ????????????? ? f0076h 00h r/w 76543210 adpc 0 0 0 0 adpc3 adpc2 adpc1 adpc0 adpc3 adpc2 adpc1 adpc0 ? a / d ani3/ p23 ani2/ p22 ani1/ p21 ani0/ p20 0000aaaa 0001dddd 0010 d d d a 0011 d d a a 0100 d a a a 0101aaaa 0110aaaa 0111aaaa 1000aaaa ??
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 105 2014.10.31 4.3.8 i/o ?? 1 pior1 ??? i/o ???? i/o ?????? ? 8 ??? pior1 ? ????????? ?00h? ? 4-27 i/o ?? 1 pior1 ?? ? f0079h 00h r/w 76543210 pior1 0 0 0 0 pior13 pior12 pior11 pior10 pior13 pior12 ? rj trjo0 ?? 00 p30/intp3/sck00/scl00 01 p50/intp1/si00/rxd 0/toolrxd/sda00 10 p00/ani17/ti00/txd1/cmp0p 11 ?? pior11 pior10 ? rj trjio0 ?? 00 p01/ani16/to00/rxd1/pgai 01 p31/ti03/to03/intp4/pclbuz0/ssi00 10 ?? 11 ??
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 106 2014.10.31 4.4 ??? ??? / ???? 4.4.1 / ?? (1) ?? ???? ??????? ???? (2) ?? ???? off ????? ??????? ???? 4.4.2 / ??? (1) ?? ???? (2) ?? ????? 4.4.3 / ?? (1) ?? ??? ??????? ???? (2) ?? ????? off ?? ?? ????
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 107 2014.10.31 4.4.4 ? / ?? 2.5v 3v ?? ????? pimxx ????? pomxx / ? ? 2.5v 3v ? ? 0 1 3 5 v dd =4.0v 5.5v ? 2.5v 3v ??? i/f / ?? 2.5v 3v ???????? 0 1 3 5 pim0 pim1 pim3 pim5 ? cmos ttl ? ? 2.5v 3v ???????? 0 1 3 5 pom0 pom1 pom3 pom5 ? cmos n ? v dd ? (1) ? ttl ?? uart0 uart1 csi00 ???? uart0 p50 uart1 p01 csi00 p30 p50 ?????? ??h ? pim0 pim1 pim3 pim5 ??? ?1? ttl ? v ih v il ? ? ttl ?? dc ? ???? uart/csi ?? (2) n ???? uart0 uart1 csi00 ???? uart0 p51 uart1 p00 csi00 p30 p51 ?????? ??h ????????? hi-z ??? ?1? ? pom0 pom1 pom3 pom5 ??? ?1? ?? n ? v dd ??? ???? uart/csi ?? ? pm0 pm1 pm3 pm5 ????? ?????? hi-z ?? ? v dd 3v 4.0v v dd 5.5v 2.5v 3.3v v dd 4.0v
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 108 2014.10.31 (3) ?? 2.5v 3v ? iic00 ? / ??? iic00 p30 p50 ?????? ??h ????????? hi-z ??? ?1? ? pom3 ? pom5 ??? ?1? ?? n ? v dd ??? ? pim3 ? pim5 ??? ?1? ttl ? v ih v il ?? ttl ?? dc ? ???? i 2 c ?? ? pm3 ? pm5 ??????? ??? / ?????? hi-z ??
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 109 2014.10.31 4.5 ???????? ??????? 4-13 ?? ? ??????????? 4-13 ???????? (1/3) ? 1. piorxx i/o ?? pomxx ???? pmcxx ????? pmxx ???? pxx ? 2. ?? i/o ?? 1 pior1 ? ( ) ??? ??? piorxx pomxx pmcxx pmxx pxx / p00 ani17 ? 1 11 cmp0p 11 ti00 01 txd1 0/1 0 0 1 (trjo0) pior13 pior12=10b 0000 p01 ani16 ? 1 ?1 1 pgai ?1 1 to00 ?0 0 0 rxd1 ?0 1 (trjio0) pior11 pior10=00b ?0 1 pior11 pior10=00b ?0 0 0 p10 trdiod1 ?1 0?0 0 p11 trdioc1 ?? 1 ?? 0 0 p12 trdiob1 ?? 1 ?? 0 0 p13 trdioa1 ?? 1 ?? 0 0 p14 trdiod0 ?? 1 ?? 0 0 p15 pclbuz1 ?0 0 trdiob0 ?1 0?0 0
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 110 2014.10.31 4-13 ???????? (2/3) ? 1. piorxx i/o ?? pomxx ???? pmcxx ????? pmxx ???? pxx ? 2. ?? i/o ?? 1 pior1 ? ( ) ??? ??? piorxx pomxx pmcxx pmxx pxx / p16 ti01 ?? 1 to01 ?? 0 0 intp5 ?? 1 trdioc0 ?? 1 ?? 0 0 p20 ani0 ? 2 ?? 1 av refp ?? 1 p21 ani1 ? 2 ?? 1 av refm ?? 1 p22 ani2 ? 2 ?? 1 p23 ani3 ? 2 ?? 1 p30 intp3 ?1 sck00 ?1 0/1 ? 0 1 scl00 0/1 ? 0 1 trjo0 pior13 pior12=00b 0?0 0
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 111 2014.10.31 4-13 ???????? (3/3) ? 1. piorxx i/o ?? pomxx ???? pmcxx ????? pmxx ???? pxx ? 2. ?? i/o ?? 1 pior1 ? ( ) ??? ??? piorxx pomxx pmcxx pmxx pxx / p31 ti03 ?? 1 to03 ?? 0 0 intp4 ?? 1 pclbuz0 ?? 0 0 ssi00 ?? 1 (trjio0) pior11 pior10=01b ?? 1 pior11 pior10=01b ?? 0 0 p40 tool0 / ?? p50 intp1 ?1 si00 ?1 rxd0 ?1 toolrxd ?1 sda00 / 1?0 1 (trjo0) pior13 pior12=01b 0?0 0 p51 intp2 ?1 so00 0/1 ? 0 1 txd0 0/1 ? 0 1 tooltxd 0/1 ? 0 1 p120 cmp1p ?1 1 ani19 ? 1 ?1 1 p137 intp0 ???
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 112 2014.10.31 ? 1. ani16/p01 ani17/p00 ani19/p120 ???????? 0 12 pmc0 pmc12 ? ??? ads ? pm0 ? pm12 ?? 4-14 ani16/p01 ani17/p00 ani19/p120 ??? 2. p20/ani0 p21/ani1 p22/ani2 p23/ani3 ?? a/d ?? adpc ?? ?? ads ????? 2 pm2 ? 4-15 p20/ani0 p21/ani1 p22/ani2 p23/ani3 ??? pmc0 ? pmc12 ? pm0 ? pm12 ? ads ? ani16/p01 ani17/p00 ani19/p120 / ? ?? ? ?? ? ?? ?? ? ani ? ? ? ani ? ? ?? ? ani ?? ? ani adpc ? pm2 ? ads ? p20/ani0 p21/ani1 p22/ani2 p23/ani3 / ? ?? ? ?? ? ?? ?? ? ani ? ? ? ani ? ? ?? ? ani ?? ? ani
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 113 2014.10.31 4.6 ?????? 4.6.1 ????? n pn ??? ??????????????? ??? ???????????? p10 ??? p11 p16 ?? ???????? 1 ?? ?00h? ?????? p10 ??????? 1 ??? ?ffh? ? pmnm ? ?1? ??? pn ??? R7F0C015 r7f0c016 ?????? <1> 8 ? pn ? <2> 1 ? <3> 8 ?? pn ? <1> ?? p10 ? ?0? ?? p11 p16 ?? ? p11 p16 ???????? ?feh? ? <2> ??? ?ffh? ? <3> ? ?ffh? ? 4-28 ?? p10 "+ geg? p10!;> !,| <?0??(set1 p1.0)? 6?(???p?+ g p10 p11p16 0? 1,xeg?j?, < 00000000 p?+ geg? 6?(???p?+ g p10 p11p16 0? 1,xeg?j?, < 11111111 p10!;> ,x!?0?? 7a18! )!a?0? ?, <1(p1)? aageg?0? p10a?0? ,xeg?j?, <,x?0?? aageg90? p11p16a?6?(?? ?1?? 8ap10!5b?1?? 9a18! )!4-0? ?, <1(p1),xeg?j?, <m8,x4p?
R7F0C01592esn r7f0c01692esn 4 ?? r01uh0453cj0210 rev.2.10 114 2014.10.31 4.6.2 ?? ??????????? ? ??? i/o ?? pior ????? ? 4.5 ???????? ? ? ? hi-z ??? ???? 4-16 ????? ? sck00 so00 scl00 sda00 n=0 p16/ti01/to01/intp5/trdioc0 ? (1) to01 p16 ????? 1 pm16 ?0? ?? ti01 intp5 ???? trdioc0 ???? ec0=1 (2) trdioc0 p16 ????? 1 pm16 ?0? ?? ti01 intp5 ???? to01 ????? 0 to01=toe01=0 p30/intp3/sck00/scl00 sck00 / ? scl00 ???????? ?????? csi md002=md001=0 ?? i 2 c scl00 ?????????? / ? ? ???? / ????? ?? to0n ?? 0 to0 bit0 to0n ??? 0 toe0 bit n toe0n ?0? ??? ? rj trjio0 trjo0 ? i/o ?? 1 pior1 ??? ????? trjo ? rj i/o ?? 0 trjioc0 bit2 ?0? ??? trjio ? rj ??? 0 trjmr0 ????? ?? ? rd trdioa1 trdiobn trdiocn trdiodn ? rd ? 1 trdoer1 ?? edn ecn ebn ean ?1? ??? ? / pclbuzn ??? n cksn bit7 pcloen ?0? ?? ? ? sck00 so00 sc l00 sda00 ???? 0 se0 bit n se0n ? 0 so0 bit n so0n ?? 0 soe0 bit n soe0n ?? so0n ? ?1? ? ?0? ?
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 115 2014.10.31 5 ?? 5.1 ??? ??? cpu ????? 2 ??????? (1) ??? x1 ?? ? x1 x2 ? f x =1 20mhz ????? stop ?? mstop ????? csc bit7 ??? ? oco ???? 000c2h f hoco =48mhz 24mhz 16mhz 12mhz 8mhz 4mhz 1mhz(typ.) ?????? 48mhz ? f hoco ? f ih ? 24mhz ? 24mhz ??? ? f hoco ? f ih ? f hoco ??? cpu ????? ? ? ?? stop ?? hiostop csc ? bit0 ??? ? exclk/x2/p122 ?????? f ex =1 20mhz ?? stop ? ? mstop ?????? ?? mcm0 ?????? ckc bit4 ??? x1 ???? ??????? ????? hocodiv ??????? ? ? 5-10 ???? hocodiv ?? ? ????? ????????? hocodiv ?? ? ?? 48mhz ?? rd ? 48mhz ? f hoco cpu? f hoco 2 ? 24mhz ? f ih ?? rd ? 48mhz ?? f clk ?? f ih ?? ? mhz 12346812162448 2.7v v dd 5.5v
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 116 2014.10.31 (2) ? oco ? f il =15khz (typ.) ??? ??? cpu ?? ????? ? ?? ?12 ? ? ? rj ??? 000c0h bit4 wdton ????? osmc bit4 wutmmck0 ? ?1? ???? ? wdton ? ?1? wutmmck0 ? ?0? ?? 000c0h bit0 wdstbyon ? ?0? ?? halt ? stop ??????? ? f x x1 ?? f hoco ??? 48mhz f ih ??? 24mhz ? f ex ????? f il ??? ? ?? f hoco ?? 48mhz ????? f hoco 2 ???? 24mhz ? ?????? f hoco ??? rd ? 48mhz ?? f clk ?? f ih
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 117 2014.10.31 5.2 ???? ??? 5-1 ???? ? ? ?? ????? cmc ?????? ckc ????? csc ????? ostc ???? osts ? 0 1 per0 per1 ????? osmc ???? hocodiv ??? hiotrm ?? x1 ?? ?? ??
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 118 2014.10.31 ? 5-1 ???? (48 mhz (typ.)) (16 mhz (typ.)) (8 mhz (typ.)) (1 mhz (typ.)) (24 mhz (typ.)) (12 mhz (typ.)) (4 mhz (typ.)) amph exclk oscsel mstop osts2 osts1 osts0 3 mcs mcm0 most 18 most 17 most 15 most 13 most 11 most 10 most 9 most 8 stop x1/p121 x2/exclk /p122 f x f ex f mx f hoco 1/2 f ih f main wdton wdstbyon wutmmck0 wutmmck0 f il (15 khz (typ.)) cpu f clk 6 hocodiv0 hocodiv1 hocodiv2 hiostop hiotrm0 hiotrm1 hiotrm2 hiotrm3 hiotrm4 hiotrm5 wutmmck0 sau0en adcen tau0en oacmpen trd0en pwm eynm ) pga trj0en pwmopen tmkaen 2?43js{
?, <(ckc) 950nkey? ?, <(osts) 950nkaud <,x (???, < (ostc) ?? ? jse> ?? {
?, < (cmc) jse> (?? {
?, < (csc) yf?4? x1950n kaud < e? e> ?? halt?? stop?? y{
+ c? j'lj*? 95 f?eg9 js p?eyf?95 < "eyf?95 < 2?43js$d ,xey?+ c? eynm+8v (000c0h) halt/stop?? ? ,?k?(?n < n `  .@ js$d,xey? n l ? )0 a/de@6 < n (??{
?, <(csc) eynm+8v(000c2h) frqsel0 frqsel4 p?e2?43js 95+ c? 12!klhjs n < p?eyf?95 <,xne)[ ey??, <(hocodiv) p?eyf?95 <,x ?a?, <(hiotrm) e> ez?? {
?, <(osmc) {
+ c? ey? <  a??, <1 (per1) n + c? ey? <
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 119 2014.10.31 ? f x x1 ?? f hoco ??? 48mhz f ih ??? 24mhz ? f ex ????? f mx ???? f main ???? f clk cpu/ ??? f il ??? ? ?? f hoco ?? 48mhz ????? f hoco 2 ???? 24mhz ? ?????? f hoco ??? rd ? 48mhz ?? f clk ?? f ih 5.3 ???? ????? ? ????? cmc ? ?????? ckc ? ????? csc ? ????? ostc ? ???? osts ? ? 0 1 per0 per1 ? ????? osmc ? ???? hocodiv ? ??? hiotrm ? ????????
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 120 2014.10.31 5.3.1 ????? cmc ? x1/p121 ? x2/exclk/p122 ????????? ??? 8 ?? 1 cmc ?? 8 ???? ????????? ?00h? ? 5-2 ????? cmc ?? ? 1. ??? 8 ?? 1 cmc ???? ?00h? ? cmc ??? ???? ?00h? ????? cmc ? ?00h? 2. ???????? csc ? x1 ?? cmc ? 3. x1 ??? 10mhz ?? amph ?1? 4. ?? f ih ? f clk ?? f clk ? f mx ???? amph 5. ????? 24mhz x1 ???? 20mhz 6. ? bit1 5 ?0? ? f x x1 ?? ? fffa0h 00h r/w 76543210 cmc exclk oscsel 0 0 0 0 0 amph exclk oscsel ??? ??? x1/p121 x2/exclk/p122 00 ??? ? 01 x1 ?? ?? 10 ??? ? 11 ???? ? ?? amph x1 ???? 0 1mhz f x 10mhz 1 10mhz f x 20mhz
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 121 2014.10.31 5.3.2 ?????? ckc ? cpu/ ????????? ? 1 8 ??? ckc ? ????????? ?00h? ? 5-3 ?????? ckc ?? ? bit5 ? ? f hoco ??? 48mhz f ih ??? 24mhz ? f mx ???? f main ???? ? ?? f hoco ?? 48mhz ????? f hoco 2 ???? 24mhz ? ?????? f hoco ??? rd ? 48mhz ?? f clk ?? f ih ? 1. ? bit0 3 6 7 ?0? 2. cpu ?????? 12 ?? / ??? ??? cpu/ ??????? 3. ?? f hoco ?? rd ????? 1 per1 bit4 trd0en ? f clk ?? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? ? fffa4h 00h r/w ? ckc 0 0 mcs mcm0 0 0 0 0 mcs ??? f main ?? 0 ?? f ih 1 ??? f mx mcm0 ??? f main 0 ??? f ih ???? f main 1 ???? f mx ???? f main 765 4 3210
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 122 2014.10.31 5.3.3 ????? csc ????????? ?????? ? 1 8 ??? csc ? ????????? ?c0h? ? 5-4 ????? csc ?? ? 1. ????????? cmc ? csc ? 2. ?? mstop ?0? ?????? osts ????? osts ???? osts ? 3. ??? mstop ? x1 ??????? ostc ? x1 ?? ??? 4. ? csc ????? cpu/ ?? f clk ?? 5. ??? ?????????? 5-2 5-2 ??????? ? fffa1h c0h r/w cscmstop100000hiostop mstop ???? x1 ?? ???? ??? 0 x1 ?? exclk ??? ? 1 x1 ???? exclk ??? hiostop ??? 0 ? 1 ??? ? ???? ?? csc ???? x1 ? cpu/ ??????? mcs=0 mstop=1 ???? ?? cpu/ ?????? mcs=1 hiostop=1 7 6543210
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 123 2014.10.31 5.3.4 ????? ostc ?? x1 ???????? ? x1 ????? ? cpu ??????? x1 ??? ? cpu ????? x1 ??????? stop ?? stop ??? ? 1 8 ?? ostc ? ???? stop ? mstop ????? csc bit7 ? ?1? ??? ?00h? ? ??? ? x1 ??? exclk oscsel=0 1 mstop=0 ? ? stop ???
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 124 2014.10.31 ? 5-5 ????? ostc ?? ? 1. ???? most8 ?? ?1? ? ?1? ?? 2. ??????? osts ??? osts ?????? ostc ????? ? cpu ??????? x1 ??? ? cpu ????? x1 ??????? stop ?? stop ??? ??? stop ?? ostc ??? osts ?????? 3. x1 ?????????? ? a ? f x x1 ?? ? fffa2h 00h r 76543210 ostc most8 most9 most10 most11 most13 most15 most17 most18 most 8 most 9 most 10 most 11 most 13 most 15 most 17 most 18 ???? f x =10mhz f x =20mhz 00000000 2 8 /f x 25.6 s 12.8 s 10000000 2 8 /f x 25.6 s 12.8 s 11000000 2 9 /f x 51.2 s 25.6 s 11100000 2 10 /f x 102 s 51.2 s 11110000 2 11 /f x 204 s 102 s 11111000 2 13 /f x 819 s 409 s 11111100 2 15 /f x 3.27ms 1.63ms 11111110 2 17 /f x 13.1ms 6.55ms 11111111 2 18 /f x 26.2ms 13.1ms stop??,x?l8 x16?,x + _"?6 a
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 125 2014.10.31 5.3.5 ???? osts ? x1 ?????? ? x1 ?? x1 ?? mstop=0 ?? osts ???? cpu ????? x1 ?? cpu ????? x1 ??????? stop ?? stop ????????? ostc ???????? ostc ?? osts ???? ? 8 ??? osts ? ????????? ?07h? ? 5-6 ???? osts ?? ? 1. ? osts ????????? csc mstop ?0? ?? 2. ??? osts ???? osts ?????????? ostc ????? ? cpu ??????? x1 ??? ? cpu ????? x1 ??????? stop ?? stop ??? ??? stop ?? ostc ??? osts ?????? 3. x1 ?????????? ? a ? f x x1 ?? ? fffa3h 07h r/w 76543210 osts 0 0 0 0 0 osts2 osts1 osts0 osts2 osts1 osts0 ??? f x =10mhz f x =20mhz 000 2 8 /f x 25.6 s 12.8 s 001 2 9 /f x 51.2 s 25.6 s 010 2 10 /f x 102 s 51.2 s 011 2 11 /f x 204 s1 0 2 s 100 2 13 /f x 819 s4 0 9 s 101 2 15 /f x 3.27ms 1.63ms 110 2 17 /f x 13.1ms 6.55ms 111 2 18 /f x 26.2ms 13.1ms stop??,x?l8 x16?,x + _"?6 a
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 126 2014.10.31 5.3.6 ? 0 1 per0 per1 ???????????????????? ??????????? ?1? ?12 ? ?a/d ? ? ? 0 ? ?? 0 ? ? rd ? ? rj ? ? 0 ? ? 1 ? ?? pga ?pwm ??? ? 1 8 ??? per0 ? per1 ? ?????? ?00h? ? 5-7 ? 0 per0 ?? ? ?? ?0? bit1 3 4 6 7 ? f00f0h 00h r/w per0 0 0 adcen 0 0 sau0en 0 tau0en adcen ? a/d ???? 0 ????? ? a/d ??? sfr ?a/d ???? 1 ??? ? ? a/d ??? sfr sau0en ?? 0 ??? 0 ????? ? ?? 0 ?? sfr ? ? 0 ??? 1 ??? ? ??? 0 ?? sfr tau0en ??? 0 ??? 0 ????? ? ?? 0 ?? sfr ? ?? 0 ??? 1 ??? ? ??? 0 ?? sfr 765 432 10
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 127 2014.10.31 ? 5-8 ? 1 per1 ?? ? 1. ? 12 ???????? osmc bit4 wutmmck0 ?1? ? ????????? tmkaen ?1? 2. ??? 000c2h frqsel4 ? ?1? ??? 1 per1 bit4 trd0en ? f clk ?? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? ? ?? ?0? bit1 3 ? f007ah 00h r/w per1 tmkaen ? 1 pwmopen oacmpen trd0en ? 2 000t r j 0 e n tmkaen ? 1 ? 12 ???? 0 ????? ? 12 ??? sfr ?12 ???? 1 ??? ? ? 12 ??? sfr pwmopen ? pwm ?????? 0 ????? ? pwm ????? sfr ?pwm ?????? 1 ??? ? ? pwm ????? sfr oacmpen ?? 0 1 ?????? 0 ????? ? ? 0 1 ????? sfr ? ? 0 1 ??????? 1 ??? ? ?? 0 1 ????? sfr trd0en ? 2 ?? rd ??? 0 ????? ? ? rd ?? sfr ? ? rd ??? 1 ??? ? ?? rd ?? sfr trj0en ?? rj0 ??? 0 ????? ? ? rj0 ?? sfr ? ? rj0 ??? 1 ??? ? ?? rj0 ?? sfr 7 6 5 4 3210
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 128 2014.10.31 5.3.7 ????? osmc ? osmc ????? 12 ???? rj ?? ? 8 ??? osmc ? ????????? ?00h? ? 5-9 ????? osmc ?? ? 1. ? 12 ??? wutmmck0 ?1? 2. 12 ?? wutmmck0 ?0? ? ? bit0 3 bit5 7 ?0? ? f00f3h 00h r/w 76543210 osmc 0 0 0 wutmmck0 ? 1 2 0000 wutmmck0 ? 1 2 ???? 12 ????? rj ??? 0 ? ??? 12 ??? ? ???? rj ?? 1 ? ??? 12 ??? ? ???? rj ??
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 129 2014.10.31 5.3.8 ???? hocodiv ??? 000c2h ???????????? 000c2h frqsel4 frqsel3 ?? ? 8 ??? hocodiv ? ??????????? 000c2h frqsel2 frqsel0 ?? ? 5-10 ???? hocodiv ?? ? 1. ?????? hocodiv ???? 000c2h ?????? 2. ??? f ih ? cpu/ ?? f clk ??? hocodiv ? 3. ? hocodiv ????????? ? ???? 3 ?? ? ????? 3 cpu/ ??? ? f00a8h ?? 000c2h frqsel2 frqsel0 ?? r/w 76543210 hocodiv 0 0 0 0 0 hocodiv2 hocodiv1 hocodiv0 hocodiv2 hocodiv1 hocodiv0 ????? frqsel4=0 frqsel4=1 frqsel3=0 frqsel3=1 frqsel3=0 000f ih =24mhz ?? f ih =24mhz f hoco =48mhz 001f ih =12mhz f ih =16mhz f ih =12mhz f hoco =24mhz 010 f ih =6mhz f ih =8mhz f ih =6mhz f hoco =12mhz 011 f ih =3mhz f ih =4mhz f ih =3mhz f hoco =6mhz 100 ?? f ih =2mhz ?? 101 ?? f ih =1mhz ?? ?? ?? 000c2h ? ?? ?? ? cmode1 cmode0 10 ls ?? 1mhz 8mhz 2.7v 5.5v 11 hs ?? 1mhz 24mhz 2.7v 5.5v ??
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 130 2014.10.31 5.3.9 ??? hiotrm ???? ?????????????? ? 8 ??? hiotrm ? ? ??? v dd ?????? ?? v dd ???????????? ? 5-11 ??? hiotrm ?? ? ?????? ? 1. hiotrm ?? 1 ?????? 0.05% ? 2. hiotrm ??? rl78 mcu ?????? r01an0464 ? f00a0h ? r/w 76543210 hiotrm 0 0 hiotrm5 hiotrm4 hiotr m3 hiotrm2 hiotrm1 hiotrm0 hiotrm5 hiotrm4 hiotrm3 h iotrm2 hiotrm1 hiotrm0 ? 000000 000001 000010 000011 000100 ? ? ? 111110 111111
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 131 2014.10.31 5.4 ????? 5.4.1 x1 ?? x1 ??? x1 ? x2 ??? 1 20mhz ?? ????? exclk ??? ? x1 ???????? cmc bit7 bit6 exclk oscsel ? ? ? exclk oscsel=0 1 ? ?? exclk oscsel=1 1 ? x1 ???????? exclk oscsel=0 0 ???? ? 2-4 ??? ? x1 ??? ? 5-12 ? ? 5-12 x1 ??? ? ? x1 ????????????? 5-12 ??? ? ??? ? ?????????? ? ??????? v ss ???????? ? ?????? (a) ? (b) ?? v ss x1 x2 j'a? <5 lj*?a? < exclk f?js
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 132 2014.10.31 ? ? 5-13 ? ? 5-13 ? (1/2) ? ?? x1 ? x2 ?????????? ??????? (a) ??? (b) ?? (c) x1 x2 ?? (d) x1 x2 ????? x2 v ss x1 x1 ng ng ng v ss x2 port x2 v ss x1 x1 + $dy  6 v ss x2 "?
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 133 2014.10.31 ? 5-13 ? (2/2) (e) ???? (f) ???? a ? b ? c ?? (g) ?? v ss x1 x2 ?+ # v ss x1 x2 ab c pmn v dd ?+ #? v ss x1 x2
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 134 2014.10.31 5.4.2 ? R7F0C015 r7f0c016 ????? 000c2h 48mhz 24mhz 16mhz 12mhz 8mhz 4mhz 1mhz ???? 48mhz ? cpu ?? 2 ?????? ??? csc bit0 hiostop ?? ???????? 5.4.3 ? R7F0C015 r7f0c016 ?? ???? 12 ????? rj ??? cpu ?? ??? 000c0h bit4 wdton ????? osmc bit4 wutmmck0 ? ?1? ???? ???? wutmmck0 ? ?0? ?????? ? wutmmck0 ? ?0? wdstbyon ? ?0? ? halt ?? stop ?? snooze ???????????????????? 5.5 ?? ????????? cpu ?? ? 5-1 ???? f main ? ???? f mx x1 ?? f x ????? f ex ? ??? f ih ??? f il cpu/ ??? f clk R7F0C015 r7f0c016 ? cpu ??? ????? ? 5-14 ?
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 135 2014.10.31 ? 5-14 ????? ?????y por ??? ??? ? 28.5 ac ? ?????????? ????? ????? ????????? cpu ????? ?? x1 ???? ? 5.6.2 x1 ??? ? ? cpu ? x1 ????????? ? 5.6.2 x1 ??? ? ? 1. ?????????? 2. ??????? ostc ? x1 ????? 3. ??? ? 19 ?y? ? ? ? exclk ????????? 0v v por 7??10 s reset 6? 7 9 p?eyf?95 <js cpujs 8 "?1 e?e?ec?6? ; p?e2?43js x1js950nk "?2 : + $d+ _(v dd ) 10+ _8 ?l$ t+ ! ? p?eyf?95 < js(f ih ) p?e2?43js (f mx ) (ey?x195,x?? ) !?)k "?3
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 136 2014.10.31 5.6 ?? 5.6.1 ?? ? cpu/ ??? f clk ???????? 000c2h frqsel0 frqsel4 48mhz 24mhz 16mhz 12mhz 8mhz 4mhz 1mhz ?? ???????? hocodiv ?? ???? ? 000c2h ? 76543210 ? 000c2h cmode1 0/1 cmode0 0/1 1 frqsel4 0/1 frqsel3 0/1 frqsel2 0/1 frqsel1 0/1 frqsel0 0/1 cmode1 cmode0 ??? 10 ls ?? v dd =2.7v 5.5v@1mhz 8mhz 11 hs ?? v dd =2.7v 5.5v@1mhz 24mhz ?? frqsel4 frqsel3 frqsel2 frqsel1 frqsel0 ?? f hoco f ih 10000 4 8 m h z 2 4 m h z 00000 2 4 m h z 2 4 m h z 01001 1 6 m h z 1 6 m h z 00001 1 2 m h z 1 2 m h z 01010 8 m h z 8 m h z 01011 4 m h z 4 m h z 01101 1 m h z 1 m h z ??
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 137 2014.10.31 ???? hocodiv ? ? f00a8h 76543210 hocodiv 0 0 0 0 0 hocodiv2 hocodiv1 hocodiv0 hocodiv2 hocodiv1 hocodiv0 ????? frqsel4=0 frqsel4=1 frqsel3=0 frqsel3=1 frqsel3=0 000f ih =24mhz ?? f ih =24mhz f hoco =48mhz 001f ih =12mhz f ih =16mhz f ih =12mhz f hoco =24mhz 010 f ih =6mhz f ih =8mhz f ih =6mhz f hoco =12mhz 011 f ih =3mhz f ih =4mhz f ih =3mhz f hoco =6mhz 100 ?? f ih =2mhz ?? 101 ?? f ih =1mhz ?? ??
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 138 2014.10.31 5.6.2 x1 ??? ? cpu/ ?? f clk ?????? x1 ? ?????? osts ????? cmc ????? csc ?????????????? ostc ??????????? ckc x1 ??? f clk ????????? ? cmc ? oscsel ?1? f x 10mhz ? amph ?1? ? x1 ?? amph x1 ?? 10mhz ? ?0? ? osts ?? stop ??? x1 ????? ?? 10mhz ?? 102.4 s ???? ? csc ? mstop ?0? ? x1 ????? ? ostc ?? x1 ??? ?? 10mhz ?? 102.4 s ???? ? ckc ? mcm0 x1 ??? cpu/ ??? 76543210 cmc exclk 0 oscsel 100000 amph 1 76543210 osts 00000 osts2 0 osts1 1 osts0 0 76543210 csc mstop 0100000 hiostop 0 76543210 ostc most8 1 most9 1 most10 1 most11 0 most13 0 most15 0 most17 0 most18 0 76543210 ckc 00 mcs 0 mcm0 10000
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 139 2014.10.31 5.6.3 cpu ?????? ? cpu ????? ? 5-15 ? ? 5-15 cpu ?????? (a) (b) (c) (e) (g) (d) (h) (f) p?eyf?95 <?e>  x195exclkeg9?0!6(eg90? ??) cpu?p?e yf?95 < stop cpu?p?e yf?95 < halt cpu?x195 exclkeg9 stop p?eyf?95 <?e>  x195exclkeg9?0!6 p?eyf?95 <?e>  x195exclkeg9?6?95e> cpu?p?e yf?95 < e>  cpu?x195 exclkeg9 e>  cpu?x195 exclkseg9 halt ?l8! + $d on cpu?p?e yf?95 < snooze p?eyf?95 <?cpu6?ey? x195exclkeg9?e>  p?eyf?95 <?e>  x195exclkeg9?cpu6?ey? p?eyf?95 <?0!6 x195exclkeg9?0!6 p?eyf?95 <?0!6 x195exclkeg9?0!6 p?eyf?95 <?6?95e> x195exclkeg9?e>  v dd 110+ _8 ?l$ (e?e?lvd+ c?5f?!?l8! ) p?eyf?95 <?
?gf x195exclkeg9?0!6(eg90? ??)
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 140 2014.10.31 cpu ???? sfr ??? 5-3 ? 5-3 cpu ???? sfr ?? (1/3) (1) ? (a) cpu ???? (b) (2) ? (a) cpu ????? (c) cpu ???? (b) ? 1. ??? 8 ?? 1 ????? cmc 2. ???? osts ??? ? ?????? ostc ?? osts ???? ? ???????? ? 28 ? ??? ? 1. 2. 5-3 (a) (h) ?? 5-15 (a) (h) ??? sfr ?? (a) (b) ?? sfr ? ???? sfr ??? sfr ??? ??? cmc ? ? 1 osts ? csc ? ostc ? ckc ? exclk oscsel amph mstop mcm0 (a) (b) (c) x1 ??1mhz f x 10mhz 010 ? 2 0 ?? 1 (a) (b) (c) x1 ??10mhz f x 20mhz 011 ? 2 0 ?? 1 (a) (b) (c) ??? 11 ? 2 0 ? ? 1
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 141 2014.10.31 5-3 cpu ???? sfr ?? (2/3) (3) cpu ??? (b) ????? (c) ? 1. ??? 1 ????? cmc ??? 2. ???? osts ??? ? ?????? ostc ?? osts ???? ? ???????? ? 28 ? ??? ? 1. 2. 5-3 (a) (h) ?? 5-15 (a) (h) (4) cpu ???? (c) ???? (b) ? frqsel4=0 ?18 s 65 s frqsel4=1 ?18 s 135 s ? 1. 5-3 (a) (h) ?? 5-15 (a) (h) 2. ???????? stop ???? sfr ??? sfr ??? ??? cmc ? ? 1 osts ? csc ? ostc ? ckc ? exclk oscsel amph mstop mcm0 (b) (c) x1 ??1mhz f x 10mhz 010 ? 2 0 ?? 1 (b) (c) x1 ??10mhz f x 20mhz 011 ? 2 0 ?? 1 (b) (c) ??? 11 ? 2 0 ? ? 1 ??? ????? sfr ??? sfr ??? ??? csc ? ????? ckc ? hiostop mcm0 (c) (b) 0 ? 0 ????
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 142 2014.10.31 5-3 cpu ???? sfr ?? (3/3) (5) ? cpu ??? (b) ?? halt ?? (d) ?cpu ???? (c) ?? halt ?? (e) ? 5-3 (a) (h) ?? 5-15 (a) (h) (6) ? cpu ??? (b) ?? stop ?? (f) ?cpu ???? (c) ?? stop ?? (g) (7) cpu stop ?? (f) ?? snooze ?? (h) ? stop ???? snooze ??? ? 12.8 snooze ?? ? ? 14.5.7 snooze ?? ? ? 14.7.3 snooze ?? ? ? 5-3 (a) (h) ?? 5-15 (a) (h) ??? ? (b) (d) (c) (e) ? halt ? ?? ??? ? (b) (f) ??? stop ?? ?? ? ? stop ? (c) (g) x1 ? osts ? ?? ?
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 143 2014.10.31 5.6.4 cpu ?????? cpu ??????? 5-4 cpu ??? cpu ? ?? ??? ?? ?? ? ? x1 ? x1 ? ? oscsel=1 exclk=0 mstop=0 ? ?? ??? hiostop=1 ? ???? exclk ??? ? oscsel=1 exclk=1 mstop=0 x1 ? ? ? ??? ?hiostop=0 ? ?? ?? x1 mstop=1 ???? ?? ? ???? ? ? ??? ?hiostop=0 ? ?? ??????? mstop=1 x1 ? ? ?? ?
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 144 2014.10.31 5.6.5 cpu ??????? ???????? ckc bit4 mcm0 ???? ?? ? ???? ? ckc ???? ckc ????? ? 5-5 5-6 ? ckc ? bit5 mcs ??????????? cpu ???????? 5-5 ?????? 5-6 f ih ? f mx ?? ? 1. 5-6 ?? cpu ? 2. 5-6 ??? ?????? ? f ih =8mhz f mx =10mhz ?? 2f mx /f ih =2(10/8)=2.5 3 ? 5.6.6 ???? ??? ?????????? 5-7 ??????? ? a ? b ? f ih f mx ? 5-6 ??? ?? mcm0 mcm0 0 f main =f ih 1 f main =f mx 0 f main =f ih f mx f ih 2 ? f mx f ih 2 f ih /f mx ? 1 f main =f mx f mx f ih 2 f mx /f ih ? f mx f ih 2 ? ? ???? ?? sfr ???? ?? mcs=1 cpu ???? hiostop=1 x1 ? mcs=0 cpu ????? mstop=1 ????
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 145 2014.10.31 5.7 ?? ??? ? ? 1. ??????? ????? ????????? 2. ?????????? rl78 ?? dc ac ?? ? ? 5-16 ?? (a) x1 c1 x2x1 c2 v ss rd
R7F0C01592esn r7f0c01692esn 5 ?? r01uh0453cj0210 rev.2.10 146 2014.10.31 (1) x1 2014 3 ? 1. ??? 000c2h cmode1 cmode0 ??? 2. c1 c2 ( ) ???? 3. ????????? http://www.ndk.com ?? ? ? cpu ??????? hs ?? 2.7v v dd 5.5v@1mhz 24mhz ls ?? 2.7v v dd 5.5v@1mhz 8mhz ? smd/ ? mhz ?? ? 1 ?? ? 2 ? v c1(pf) c2(pf) rd(k ) min. max. ? ? cstcr4m00g55-r0 smd 4.0 ls (39) (39) 0 2.7 5.5 cstls4m00g53-b0 (15) (15) 0 cstcr5m00g53-r0 smd 5.0 (15) (15) 0 cstls5m00g53-b0 (15) (15) 0 cstcr6m00g53-r0 smd 6.0 (15) (15) 0 cstls6m00g53-b0 (15) (15) 0 cstce8m00g52-r0 smd 8.0 (10) (10) 0 cstls8m00g53-b0 (15) (15) 0 cstcr5m00g53-r0 smd 5.0 hs (15) (15) 0 2.7 5.5 cstls5m00g53-b0 (15) (15) 0 cstcr6m00g53-r0 smd 6.0 (15) (15) 0 cstls6m00g53-b0 (15) (15) 0 cstce8m00g52-r0 smd 8.0 (10) (10) 0 cstls8m00g53-b0 (15) (15) 0 cstce10m0g52-r0 smd 10.0 (10) (10) 0 cstls10m0g53-b0 (15) (15) 0 cstce16m0v53-r0 smd 16.0 (15) (15) 0 cstls16m0x51-b0 (5) (5) 0 cstce20m0v51-r0 smd 20.0 hs (5) (5) 0 2.7 5.5 cstls20m0x51-b0 (5) (5) 0 ? ?? nx3225ha ? 3 smd 20 hs ? 3 2.7 5.5
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 147 2014.10.31 6 ?? ????? ? ? / ???? ? 6-2 ??? / ? ?? 4 16 ? 16 ?? ? ? ? ??????????? ????? ? ???? 0 ? 0 ?? 0 ? 1 ? 3 16 ? 2 8 ??? 1 ? 3 8 ?? ? ? 8 ? 8 ? / ?? 8 ? ? ?? ?? 8 ? ? ?? ?? 8 ? ? ? 28 ? 0 ? 0 ? 1 ? 2 ? 3 ? ? ? ? 6.8.1 ? 6.8.1 ? ?? 6.8.2 ? ? ? 6.8.3 ? ? 6.8.4 ? ???????? 6.8.5 ? ?? 6.8.6 ? 6.9.1 ?pwm 6.9.2 ? pwm 6.9.3 n R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 148 2014.10.31 6.1 ??? ??1? 6.1.1 ? ?????????? (1) ? ?? inttmmn ??? (2) ? inttmmj ?????? tomj 50% ???? (3) ?? ?? timj ?????? (4) ? ??? 0 ? 0 ?? ti00 ???? to00 (5) ? ?? timj ??????? ??? ? ? (inttmmn) e> js !ewe> e?f'n n js !ewe> e?f'j ? ? (inttmmj) e?"??# n e?f'j n e?f'n (ti00) (to00) e?"??# n
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 149 2014.10.31 (6) ???????? ?? timj ?????????? ???????? (7) ?? ?? timj ???????? ? 1. m ? m=0 n ? n=0 3 j ? j=0 1 3 2. ? 0 3 ?? / ???? ? 6-2 ??? / ? 6.1.2 ? ??? ??????? ??? ??????? 2 ????? ??? (1) 2 ???????^ (2) pwm pulse width modulation 2 ?????????^ e?"??# n e?"??# n ? ? (inttmmj) ?? n !ewe> e> js 40n!
< !ewe> !ewe> e?f'n({) e?f'p(2) n R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 150 2014.10.31 (3) pwm pulse width modulation ?? pwm ?? 1 ????? 3 ??? pwm ?? ? ???? ????? ?6.4.1 ??? ? ? m ? m=0 n ? n=0 3 j ? j=0 1 3 p q ? n p q p=1 3 q=3 6.1.3 8 ? ?? 1 ? 3 8 ?? 16 ?? 2 8 ??????? 1 ? 3 ? ? 8 ?? ? ?6.4.2 8 ??? ?? 1 ? 3 ? 40n!
< ? ? (inttmmn) 40n!
< e?f'n({) e?f'p(2) e?f'q(2) e> js !ewe> !ewe> !ewe> n R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 151 2014.10.31 6.2 ???? ??? 6-1 ???? ? 1. ? ? 6-2 ??? / ? 2. ??????? pmcxx ???? pmxx ???? pxx ??? ?4.5 ???????? ? ? m ? m=0 n ? n=0 3 ?????? / ??? 6-2 ??? / ? ???????????? ? ? ?? mn tcrmn ? ??? mn tdrmn ? ti00 ti01 ti03 ? 1 ? to00 to01 to03 ? 1 ? ?? ???? ? ? 0 per0 ? ???? m tpsm ? ????? m tem ? ???? m tsm ? ????? m ttm ? ??? 0 tis0 ? ?? m toem ? ?? m tom ? ??? m tolm ? ???? m tomm ???? ? ???? mn tmrmn ? ???? mn tsrmn ? ?? 1 nfen1 ? ????? pmcxx ? 2 ? ???? pmxx ? 2 ? ??? pxx ? 2 ??? ? / ? ? 0 ? 0 p00/ti00 p01/to00 ? 1 p16/ti01/to01 ? 2 ? ? 3 p31/ti03/to03
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 152 2014.10.31 ???? ? 6-1 ? 6-4 ? ? 6-1 ?? 0 ? ? f il ??? ti03 to00 inttm00 tau0en prs031 prs030 prs021 prs020 prs013 prs012 prs011 prs010 prs003 prs002 prs001 prs000 22 4 4 to01 inttm01 inttm01h inttm02 to03 inttm03 inttm03h tis04 tis02 tis01 tis00 f clk ti00 f il ti01 n <jsey??, <0(tps0)  a? ?, <0 (per0) n R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 153 2014.10.31 ? 6-2 ?? 0 ? 0 ?? ? 6-3 ?? 0 ? 1 ?? pmxx ovf00 ck00 ck01 cks000 ccs00 0 sts002sts001sts000 cis001 cis000 md003 md002 md001 md000 inttm00 (n <? ) to00 ti00 (pxx) f mck f tclk cks001 tis04 tis02 tis01 tis00 ?{
+ c? eg?{
+ c? eg?j?, < n <(???, < 00 (tsr00) t$v n <db?, < 00(tdr00) n + c? ?o ey? audjsey? ??ey? e?"? ?# e> jsey? 2e?f',x? ? n ?$?"? < a??, < 1 (nfen1) tnfen00 >? $?"? < inttm01h ( n <? ) ovf01 ck00 ck01 ck02 ck03 ti01 f mck cks010 ccs01 split 01 sts012sts011sts010 cis011 cis010 md013 md012 md011 md010 8 !n < {
+ c? to01 inttm01 (n <? ) pmxx (pxx) f tclk cks011 f il tis04tis02tis01tis00 eg?j?, < n <(???, < 01 (tsr01) t$v ?{
+ c? ?{
+ c? eg?{
+ c? n <db?, < 01(tdr01) n + c? ??ey? ??ey? {e?f',x? ? ?o ey? audjsey? e?"? ?# e> jsey? n ?$?"? < a??, < 1 (nfen1) tnfen01 >? $?"? <
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 154 2014.10.31 ? 6-4 ?? 0 ? 3 ?? inttm03h ( n <? ) ovf03 ck00 ck01 ck02 ck03 ti03 f mck cks030 ccs31 split 03 sts032sts031sts030 cis031 cis030 md033 md032 md031 md030 8 !n < {
+ c? to03 inttm03 (n <? ) pmxx (pxx) f tclk cks031 eg?j?, < n <(???, < 03 (tsr03) t$v ?{
+ c? ?{
+ c? eg?{
+ c? n <db?, < 03(tdr03) n + c? ??ey? ??ey? {e?f',x? ? ?o ey? audjsey? e?"? ?# e> jsey? >?$?"? < a??, < 1 (nfen1) tnfen03 >? $?"? <
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 155 2014.10.31 6.2.1 ?? mn tcrmn tcrmn ????? 16 ?? ????? ????? mn tmrmn mdmn3 mdmn0 ????? ? 6.3.3 ???? mn tmrmn ? ? 6-5 ?? mn tcrmn ?? ? m ? m=0 n ? n=0 3 ??? mn tcrmn ? ?? ?ffffh? ? ?? ? ? 0 per0 taumen ? ? pwm ????? ? ??????? ? ??? / ??? ? ? pwm ????? ?? ?0000h? ? ??????? ? ?????? ? ? tcrmn ??????? mn tdrmn ? f0180h f0181h tcr00 f0186h f0187h tcr03 ffffh r f0181h tcr00 f0180h tcr00 1514131211109876543210 tcrmn
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 156 2014.10.31 ? tcrmn ????????? 6-3 ????? mn tcrmn ??? ? ?? n ?????? temn=0 ??? tsmn=1 ? tcrmn ???? ? tcrmn ????? ? m ? m=0 n ? n=0 3 ?? ? ?? mn tcrmn ??? ? ???? ? ttmn=1 ?? ? ttmn=1 ???? ? ??? ??? ? ffffh ???? ? ? ??? 0000h ???? ? ? ??? ? ffffh ???? ? ? ?? ? ffffh ???? ? ffffh ? & ?? 0000h ???? ? tdrmn ? ?? +1
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 157 2014.10.31 6.2.2 ??? mn tdrmn ???????? 16 ?????? mn tmrmn mdmn3 mdmn0 ????????? ? tdrmn ?? 16 ???? 8 ??? ???? m1 m3 tmrm1 tmrm3 split ? ?1? 8 ?? tdrm1 ? tdrm3 ? tdrm1h tdrm3h 8 tdrm1l tdrm3l 8 ??? tdrmn ??? ?0000h? ? 6-6 ??? mn tdrmn ?? n=0 2 ? 6-7 ??? mn tdrmn ?? n=1 3 (1) ??? mn tdrmn ??? tdrmn ??????? ?0000h? ??? inttmmn tdrmn ????? ? ?????????? tdrmn ??? (2) ??? mn tdrmn ?? ????? mn tcrmn ??? tdrmn ? ? timn ?????????? mn tmrmn ?? ? ? m ? m=0 n ? n=0 3 ? fff18h fff19h tdr00 fff64h fff65h tdr02 0000h r/w fff19h tdr00 fff18h tdr00 1514131211109876543210 tdrmn ? fff1ah fff1bh tdr01 fff66h fff67h tdr03 0000h r/w fff1bh tdr01h fff1ah tdr01l 1514131211109876543210 tdrmn
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 158 2014.10.31 6.3 ????? ?????? ? ? 0 per0 ? ???? m tpsm ? ???? mn tmrmn ? ???? mn tsrmn ? ????? m tem ? ???? m tsm ? ????? m ttm ? ??? 0 tis0 ? ?? m toem ? ?? m tom ? ??? m tolm ? ???? m tomm ? ?? isc ? ?? 1 nfen1 ? ????? pmcxx ? ???? pmxx ? ? ??? pxx ? ? ???????? ? m ? m=0 n ? n=0 3
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 159 2014.10.31 6.3.1 ? 0 per0 per0 ??????????????????? ?? ????? 0 ?? bit0 tau0en ?1? ? 1 8 ??? per0 ? ??? per0 ??? ?00h? ? 6-8 ? 0 per0 ?? ? 1. ????? taumen ? ?1? ????? taumen ? ?0? ? ???????????? ??? 0 tis0 ? ? 1 nfen1 ????? 0 12 pmc0 pmc12 ???? 0 1 3 pm0 pm1 pm3 ???? 0 1 3 p0 p1 p3 ? ? ???? mn tsrmn ? ????? m tem ? ???? m tsm ? ????? m ttm ? ?? m toem ? ?? m tom ? ??? m tolm ? ???? m tomm 2. ? ? ?0? bi t1 3 4 6 7 ? f00f0h 00h r/w per0 0 0 adcen 0 0 sau0en 0 tau0en tau0en ?? 0 ??? 0 ????? ? ?? 0 ?? sfr ? ?? 0 ??? 1 ??? ? ??? 0 ?? sfr 765 432 10
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 160 2014.10.31 6.3.2 ???? m tpsm tpsm ???????? 2 ? 4 ?? ckm0 ckm1 ckm2 ckm3 16 ?? tpsm ? bit7 4 ? ckm1? bit3 0 ? ckm0 ?? 1 ? 3 ? tpsm ? bit9 bit8 ? ckm2? bit13 bit12 ? ckm3 ?2?? tpsm ? ? prsm00 prsm03 n=0 3 ? ckm0 ?? cksmn1 cksmn0=0 0 ?????? temn=0 ? prsm10 prsm13 n=0 3 ? ckm2 ?? cksmn1 cksmn0=0 1 ?????? temn=0 ? prsm20 prsm21 n=1 3 ? ckm1 ? ? cksmn1 cksmn0=1 0 ?????? temn=0 ? prsm30 prsm31 n=1 3 ? ckm3 ?? cksmn1 cksmn0=1 1 ?????? temn=0 ? 16 ??? tpsm ? ??? tpsm ??? ?0000h?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 161 2014.10.31 ? 6-9 ???? m tpsm ?? (1/2) ? ??? f clk ???????? ckc ????? ttm=000fh ??? f mck timn ????????? ? 1. ? bit15 14 11 10 ?0? 2. ? f clk ???? ckmk ? tdr0m ?0000h? m=0 3 ??? ? ? 1. f clk cpu/ ??? 2. tps0 ??????? 1 f clk ??? ? ?6.5.1 ?? f tclk ? ? f01b6h f01b7h tps0 0000h r/w 1514131211109876543210 tpsm 0 0 prs m31 prs m30 00prs m21 prs m20 prs m13 prs m12 prs m11 prs m10 prs m03 prs m02 prs m01 prs m00 prs mk3 prs mk2 prs mk1 prs mk0 ? ckmk ? ? k=0 1 f clk =2mhz f clk =5mhz f clk =10mhz f clk =20mhz 0000 f clk 2mhz 5mhz 10mhz 20mhz 0001 f clk /2 1mhz 2.5mhz 5mhz 10mhz 0010 f clk /2 2 500khz 1.25mhz 2.5mhz 5mhz 0011 f clk /2 3 250khz 625khz 1.25mhz 2.5mhz 0100 f clk /2 4 125khz 312.5khz 625khz 1.25mhz 0101 f clk /2 5 62.5khz 156.2khz 312.5khz 625khz 0110 f clk /2 6 31.25khz 78.1khz 156.2khz 312.5khz 0111 f clk /2 7 15.62khz 39.1khz 78.1khz 156.2khz 1000 f clk /2 8 7.81khz 19.5khz 39.1khz 78.1khz 1001 f clk /2 9 3.91khz 9.76khz 19.5khz 39.1khz 1010f clk /2 10 1.95khz 4.88khz 9.76khz 19.5khz 1011f clk /2 11 976hz 2.44khz 4.88khz 9.76khz 1100f clk /2 12 488hz 1.22khz 2.44khz 4.88khz 1101f clk /2 13 244hz 610hz 1.22khz 2.44khz 1110f clk /2 14 122hz 305hz 610hz 1.22khz 1111f clk /2 15 61hz 153hz 305hz 610hz
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 162 2014.10.31 ? 6-9 ???? m tpsm ?? (2/2) ? ??? f clk ???????? ckc ????? ttm=000fh ??? f mck timn ????????? ? ? bit15 14 11 10 ?0? 8 ????? 1 ? 3 ? ckm2 ckm3 ????? ? 6-4 ???? 6-4 ? cksm2 cksm3 ??? ? 5% ? ? 1. f clk cpu/ ??? 2. tpsm ?? f clk /2 r ?? ?6.5.1 ? f tclk ? ? f01b6h f01b7h tps0 0000h r/w 1514131211109876543210 tpsm 0 0 prs m31 prs m30 00prs m21 prs m20 prs m13 prs m12 prs m11 prs m10 prs m03 prs m02 prs m01 prs m00 prs m21 prs m20 ? ckm2 ? ? f clk =2mhz f clk =5mhz f clk =10mhz f clk =20mhz 00 f clk /2 1mhz 2.5mhz 5mhz 10mhz 01 f clk /2 2 500khz 1.25mhz 2.5mhz 5mhz 10 f clk /2 4 125khz 312.5khz 625mhz 1.25mhz 11 f clk /2 6 31.25khz 78.1khz 156.2khz 312.5khz prs m31 prs m30 ? ckm3 ? ? f clk =2mhz f clk =5mhz f clk =10mhz f clk =20mhz 00 f clk /2 8 7.81khz 19.5khz 39.1khz 78.1khz 01 f clk /2 10 1.95khz 4.88khz 9.76khz 19.5khz 10 f clk /2 12 488hz 1.22khz 2.44khz 4.88khz 11 f clk /2 14 122hz 305hz 610hz 1.22khz ? ? f clk =20mhz 16 s160 s 1.6ms 16ms ckm2 f clk /2 ??? f clk /2 2 ??? f clk /2 4 ?? f clk /2 6 ?? ckm3 f clk /2 8 ? ? f clk /2 10 ? ? f clk /2 12 ?? f clk /2 14 ??
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 163 2014.10.31 6.3.3 ???? mn tmrmn tmrmn ??? n ????? f mck ?????? / ? 16 /8 ?? ?? 1 ? 3 ????? ????? ??? & ? ? temn=1 tmrmn ????? temn=1 bit7 bit6 cismn1 cismn0 ? ? 6.8 ???? ? ? 6.9 ? ??? ? ? 16 ??? tmrmn ? ??? tmrmn ??? ?0000h? ? tmrmn ? bit11 ?? tmrm2 mastermn n=2 tmrm1 tmrm3 splitmn n=1 3 tmrm0 ?? ?0?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 164 2014.10.31 ? 6-10 ???? mn tmrmn ?? (1/4) ? bit11 ??? ?0? ? 1. ? bit13 5 4 ? tmrmn n=2 bit12 7 6 ?0? 2. ??? f clk ? ?????? ckc ???? cksmn0 cksmn1 ?? f mck timn ???? f tclk ???? ? ttm=00ffh ? m ? m=0 n ? n=0 3 ? f0190h f0191h tmr00 f0196h f0197h tmr03 0000h r/w 1514131211109876543210 tmrmn n=2 cks mn1 cks mn0 00mas termn sts mn2 sts mn1 sts mn0 0000md mn3 md mn2 md mn1 md mn0 1514131211109876543210 tmrmn n=1 3 cks mn1 cks mn0 0ccs mn split mn sts mn2 sts mn1 sts mn0 cis mn1 cis mn0 00md mn3 md mn2 md mn1 md mn0 1514131211109876543210 tmrmn n=0 cks mn1 cks mn0 0ccs mn 0 ? sts mn2 sts mn1 sts mn0 cis mn1 cis mn0 00md mn3 md mn2 md mn1 md mn0 cks mn1 cks mn0 ? n ? f mck ? 00 ???? m tpsm ?? ckm0 01 ???? m tpsm ?? ckm2 10 ???? m tpsm ?? ckm1 11 ???? m tpsm ?? ckm3 ? f mck ???? ccsmn ???? f tclk ?? 1 ? 3 ?? ckm2 ckm3 ccsmn ? n ? f tclk ? 0 cksmn0 cksmn1 ?? f mck 1 timn ?? ? 1 ? tis0 ???? ? f tclk ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 165 2014.10.31 ? 6-10 ???? mn tmrmn ?? (2/4) tmrmn n=2 bit11 tmrmn n=1 3 bit11 ? bit11 ??? ?0? ? ? tmrmn n=2 ? stsmn[2:0] ?001b? ?010b? ? m ? m=0 n ? n=0 3 ? f0190h f0191h tmr00 f0196h f0197h tmr03 0000h r/w 1514131211109876543210 tmrmn n=2 cks mn1 cks mn0 00mas termn sts mn2 sts mn1 sts mn0 0000md mn3 md mn2 md mn1 md mn0 1514131211109876543210 tmrmn n=1 3 cks mn1 cks mn0 0ccs mn split mn sts mn2 sts mn1 sts mn0 cis mn1 cis mn0 00md mn3 md mn2 md mn1 md mn0 1514131211109876543210 tmrmn n=0 cks mn1 cks mn0 0ccs mn 0 ? sts mn2 sts mn1 sts mn0 cis mn1 cis mn0 00md mn3 md mn2 md mn1 md mn0 mastermn ? n ?? / ? ?? 0 ?? 1 ??? ??? 2 ??? mastermn=1 ? 0 ?? ?0? ?? 0 ?????? ??? mastermn ?0? splitmn ? 1 ? 3 8 ? /16 ?? 0 16 ? ??????? 1 8 ? sts mn2 sts mn1 sts mn0 ? n ????? 000 ?? ?? 001 timn ???? 010 timn ??????? 100 ??? ???? ??
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 166 2014.10.31 ? 6-10 ???? mn tmrmn ?? (3/4) ? bit11 ??? ?0? ? m ? m=0 n ? n=0 1 3 ? f0190h f0191h tmr00 f0196h f0197h tmr03 0000h r/w 1514131211109876543210 tmrmn n=2 cks mn1 cks mn0 00mas termn sts mn2 sts mn1 sts mn0 0000md mn3 md mn2 md mn1 md mn0 1514131211109876543210 tmrmn n=1 3 cks mn1 cks mn0 0ccs mn split mn sts mn2 sts mn1 sts mn0 cis mn1 cis mn0 00md mn3 md mn2 md mn1 md mn0 1514131211109876543210 tmrmn n=0 cks mn1 cks mn0 0ccs mn 0 ? sts mn2 sts mn1 sts mn0 cis mn1 cis mn0 00md mn3 md mn2 md mn1 md mn0 cis mn1 cis mn0 timn ?? 00 ? 01 10 ? ??? ???? 11 ? ??? ???? stsmn2 stsmn0 ? ?010b? ????? cismn1 cismn0 ?10b?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 167 2014.10.31 ? 6-10 ???? mn tmrmn ?? (4/4) ? 1. bit11 ??? ?0? 2. ?????? inttmmj tomj 3. ? tsmn=1 ??????? ? ? tmrmn n=2 ? mdmn[3:1] ?010b? ?011b? ?100b? ?110b? ? m ? m=0 n ? n=0 3 j ? j=0 1 3 ? f0190h f0191h tmr00 f0196h f0197h tmr03 0000h r/w 1514131211109876543210 tmrmn n=2 cks mn1 cks mn0 00mas termn sts mn2 sts mn1 sts mn0 0000md mn3 md mn2 md mn1 md mn0 1514131211109876543210 tmrmn n=1 3 cks mn1 cks mn0 0ccs mn split mn sts mn2 sts mn1 sts mn0 cis mn1 cis mn0 00md mn3 md mn2 md mn1 md mn0 1514131211109876543210 tmrmn n=0 cks mn1 cks mn0 0ccs mn 0 ? 1 sts mn2 sts mn1 sts mn0 cis mn1 cis mn0 00md mn3 md mn2 md mn1 md mn0 md mn3 md mn2 md mn1 ? n ??? ? tcr ? 000 ??? ? ? 010 ??? ? 011 ??? ?? ? 100 ?? ?? / /pwm ? 110 ? & ?? ???????? ?? mdmn0 ??? ? ?? mdmn3 mdmn1 ? ?? md mn0 ??? ? ??? 0 0 0 ? ??? 0 1 0 0 ???? ?? 1 ???? ?? ? ??? 0 1 1 0 ???? ?? ? ?? ? 2 1 0 0 0 ???? 1 ?? ? 3 ?? ? ? & ?? 1 1 0 0 ???? ?? ?? ? ? ??
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 168 2014.10.31 6.3.4 ???? mn tsrmn tsrmn ???? n ???? tsrmn ?????? mdmn3 mdmn1=010b ? & ?? mdmn3 mdmn1=110b ??? ovf ? / 6-5 ? 16 ?? tsrmn ? tsrmnl ? 8 ?? tsrmn ?? 8 ??? tsrmn ??? ?0000h? ? 6-11 ???? mn tsrmn ?? ? m ? m=0 n ? n=0 3 6-5 ?? ovf ? / ? ? ovf ?????? ? f01a0h f01a1h tsr00 f01a6h f01a7h tsr03 0000h r 1514131211109876543210 tsrmn 000000000000000ovf ovf ? n ??? 0 ?? 1 ovf ? ?1? ??????? ovf=0 ??? ovf / ? ??? ? ? & ?? ???? ??? ? ??? ? ??? ? ?? ? ??
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 169 2014.10.31 6.3.5 ????? m tem tem ??????????? tem ??????? m tsm ?????? m ttm ? tsm ?? ?1? tem ???? ?1? ttm ?? ?1? ? ? ?0? ? 16 ?? tem ? teml ? 1 8 ?? tem ?? 8 ??? tem ??? ?0000h? ? 6-12 ????? m tem ?? ? m ? m=0 n ? n=0 3 ? f01b0h f01b1h te0 0000h r 1514131211109876543210 tem0000teh m3 0teh m1 00000tem 3 tem 2 tem 1 tem 0 teh m3 ? 3 ? 8 ????? 8 ??????? 0 ???? 1 ?? teh m1 ? 1 ? 8 ????? 8 ??????? 0 ???? 1 ?? temn ? n ?????? 0 ???? 1 ?? ? 1 ? 3 ? 8 ???? tem1 tem3 ? 8 ?????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 170 2014.10.31 6.3.6 ???? m tsm tsm ????? mn tcrmn ?????? ?1? ????? m tem ??? ?1? ? tsmn tshm1 tshm3 ???? temn tehm1 tehm3=1 tsmn tshm1 tshm3 ? 16 ??? tsm ? tsml ? 1 8 ??? tsm ?? 8 ??? tsm ??? ?0000h? ? 6-13 ???? m tsm ?? ? 1. ? bit15 12 10 8 4 ?0? 2. ??? timn ?? timn ??????? mn tmrmn tsmn tshm1 tshm3 ?1? ?????? timn ?? tnfenmn=1 4 ? f mck timn ?? tnfenmn=0 2 ? f mck ? 1. tsm ???? ?0? 2. m ? m=0 n ? n=0 3 3. ? 2 ?? / ? tlmn tomn tnfenmn ? n=0 1 3 ? f01b2h f01b3h ts0 0000h r/w 1514131211109876543210 tsm0000tsh m3 0tsh m1 00000tsm 3 tsm 2 tsm 1 tsm 0 tsh m3 ? 3 ? 8 ????? 8 ? ? 0 ? 1 tehm3 ?1? ?? ????? tcrm3 ?????? ?6.5.2 ?? ? ? ? 6-6 tsh m1 ? 1 ? 8 ????? 8 ? ? 0 ? 1 tehm1 ?1? ?? ????? tcrm1 ?????? ?6 .5.2 ?? ? ? ? 6-6 tsmn ? n ? 0 ? 1 temn ?1? ?? ?? tcrmn ?????? ?6.5.2 ??? ? ? 6-6 ? 1 ? 3 ? 8 ???? tsm1 tsm3 ? 8 ? ?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 171 2014.10.31 6.3.7 ????? m ttm ttm ??????? ?1? ????? m tem ??? ?0? ? ttmn tthm1 tthm3 ?????? temn tthm1 tthm3=0 ttmn tthm1 tthm3 ? 16 ??? ttm ? ttml ? 1 8 ??? ttm ?? 8 ??? ttm ??? ?0000h? ? 6-14 ????? m ttm ?? ? ? bit15 12 10 8 4 ?0? ? 1. ttm ???? ?0? 2. m ? m=0 n ? n=0 3 ? f01b4h f01b5h tt0 0000h r/w 1514131211109876543210 ttm0000 tthm 3 0 tthm 1 00000ttm 3 ttm 2 ttm 1 ttm 0 tth m3 ? 3 ? 8 ????? 8 ??? 0 ? 1 tehm3 ?0? ???? tth m1 ? 1 ? 8 ????? 8 ??? 0 ? 1 tehm1 ?0? ???? ttmn ? n ?? 0 ? 1 temn ?0? ???? ? 1 ? 3 ? 8 ???? ttm1 ttm3 ? 8 ???
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 172 2014.10.31 6.3.8 ??? 0 tis0 tis0 ??? 0 ? 1 ???? ? 8 ??? tis0 ? ??? tis0 ??? ?00h? ? 6-15 ??? 0 tis0 ?? ? 1. ????????? 1/f mck +10ns 2. ???? 0 tis0 ? elc ?????? 1 nfen1 ???? off ????? 0 tps0 ? f clk ? f0074h 00h r/w 76543210 tis0 0 0 0tis040tis02tis01tis00 tis04 ? 0 ????? 0 ? ti00 ? 1 elc ?? tis02 tis01 tis00 ? 1 ????? 000 ? ti01 ? 001 elc ?? 010 ? ti01 ? 011 100 ?? f il ??
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 173 2014.10.31 6.3.9 ?? m toem toem ???????? ??? n ????? m tom tomn ? ???????? tomn ? 16 ??? toem ? toeml ? 1 8 ??? toem ?? 8 ??? toem ??? ?0000h? ? 6-16 ?? m toem ?? ? ? bit15 4 bit2 ?0? ? m ? m=0 n ? n=0 1 3 ? f01bah f01bbh toe0 0000h r/w 1514131211109876543210 toem000000000000toe m3 0toe m1 toe m0 toe mn ? n ?? / ? 0 ?? ?? tomn ? tomn ? tomn tomn ??? 1 ?? ?? tomn ? tomn ?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 174 2014.10.31 6.3.10 ?? m tom tom ?????? ?????? tomn ???? toemn=0 ???? tomn ??? toemn=1 ?????? ? p00/ti00 p01/to00 p16/ti01/to01 p31/ti03/to03 ????? tomn ?0? ? 16 ??? tom ? toml ? 8 ??? tom ?? 8 ??? tom ??? ?0000h? ? 6-17 ?? m tom ?? ? ? bit15 4 bit2 ?0? ? m ? m=0 n ? n=0 1 3 ? f01b8h f01b9h to0 0000h r/w 1514131211109876543210 tom000000000000tom 3 0tom 1 tom 0 to mn ? n ?? 0 ??? ?0? 1 ??? ?1?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 175 2014.10.31 6.3.11 ??? m tolm tolm ???????? ?? toemn=1 ??? tommn=1 ????? ????????? n ????? tommn=0 ?? ? ? 16 ??? tolm ? tolml ? 8 ??? tolm ?? 8 ??? tolm ??? ?0000h? ? 6-18 ??? m tolm ?? ? ? bit15 4 2 0 ?0? ? 1. ?????????????? ?? 2. m ? m=0 n ? n=1 3 ? f01bch f01bdh tol0 0000h r/w 1514131211109876543210 tolm000000000000tol m3 0tol m1 0 tol mn ? n ???? 0 ? ?? 1 ??
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 176 2014.10.31 6.3.12 ???? m tomm tomm ????????? ????? ?0? ? pwm ? pwm ???? ?0? ???? ?1? ?? toemn=1 ?????????????? n ? ? 16 ??? tomm ? tomml ? 8 ??? tomm ?? 8 ??? tomm ??? ?0000h? ? 6-19 ???? m tomm ?? ? ? bit15 4 2 0 ?0? ? m ? m=0 n ? n=0 3 ??n=0 2 p ? n=0 p=1 3 n=2 p=3 ?????? ?6.4.1 ??? ? ? f01beh f01bfh tom0 0000h r/w 1514131211109876543210 tomm000000000000tom m3 0tom m1 0 tom mn ? n ????? 0 ??? ??? inttmmn 1 ??? ????? inttmmn ?? ??? inttmmp ?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 177 2014.10.31 6.3.13 ?? 1 nfen1 nfen1 ?????????? ???? ?1? ?? ??? cpu/ ?? f mck 2 ?????? ??? cpu/ ?? f mck ? ? ? 1 8 ??? nfen1 ? ??? nfen1 ??? ?00h? ? ? ?6.5.1 (2) ? timn ??? ccsmn=1 ? ?6.5.2 ??? ? ? 6-20 ?? 1 nfen1 ?? ? f0071h 00h r/w 76543210 nfen1 0 0 0 0tnfen030tnfen01tnfen00 tnfen03 ti03 ???? 0 ? off 1 ? on tnfen01 ti01 ???? 0 ? off 1 ? on tnfen00 ti00 ???? 0 ? off 1 ? on
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 178 2014.10.31 6.3.14 ???? 0 1 3 pm0 pm1 pm3 ??? 0 1 3 / ?? ?????? p01/to00 p16/to01/ti01 ?????? ??????? pmcxx ???? pmxx ???? pxx ?0? p16/to01/ti01 ? ???? 1 pm16 ?0? ??? 1 p16 ?0? ??????? p00/ti00 p16/to01/ti01 ??????? ?????? pmcxx ????? pmxx ?1? ???? pxx ?0? ?1? p16/to01/ti01 ? ???? 1 pm16 ?1? ??? 1 p16 ?0? ?1? ? 1 8 ??? pm0 pm1 pm3 ? ?????? ?ffh? ? 6-21 ???? 0 1 3 pm0 pm1 pm3 ? ? ? fff20h ffh r/w 76543210 p m 0111111p m 0 1p m 0 0 ? fff21h ffh r/w 76543210 pm1 1 pm16 pm15 pm14 pm13 pm12 pm11 pm10 ? fff23h ffh r/w 76543210 p m 3111111p m 3 1p m 3 0 pmmn pmn ? / ??? m=0 1 3 n=0 6 0 ?? on 1 ?? off
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 179 2014.10.31 6.4 ??? 6.4.1 ??? ??? ??????? ? ?????????? ???? (1) ???? ? 0 ? 2 ??? (2) ??? ? 1 ? 3 ??? (3) ???????? ?? 0 ?????? 1 ?? ? 1 ? 3 ??? (4) ? 1 ??? (5) ????????? ?? 0 ? 2 ?????? 1 ??? 0 ???? 3 ??? 0 ?? (6) ??????????? cksmn0 cksmn1 ???? mn tmrmn bit15 bit14 ????? (7) ?? inttmmn ?????? (8) ??? inttmmn ?????????? inttmmn ?????? (9) ??? inttmmn ??????? (10) ???????????? tsmn (11) ????? tsmn ????? tsmn ? (12) ??????????????? ttmn (13) ??????????? ckm2/ckm3 (14) ???? m0 tmrm0 ??? ?0? ??? 0 ? ??? 0 ? ????? 1 ????? ?? ? 2 ????????? ? m ? m=0 n ? n=0 3
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 180 2014.10.31 1 2 e?f'1?2 e?f'0?{ e?f'4? 1 ( ?e?f' 6(|e> s6?) e?f'4?1
`e?f'4?2 ?1 ,xe> js? e?f'2?{ ck00 ck01 tau0 e?f'4?2 ( ?e?f' 6(|e> s6?) e?f'3?2 e?f'4?1 ( ?e?f' 6(|e> s6?) e?f'0?{ ck00 tau0 e?f'3?2 e?f'1?(0?e?f'e> s6? ck02 e?f'2?(0?e?f'e> s6? ck01
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 181 2014.10.31 6.4.2 8 ??? ?? 1 ? 3 8 ?? 16 ?? 2 8 ???? ?? 1 ? 3 ? 8 ?????? 8 ???? (1) 8 ??? 1 ? 3 (2) 8 ?????? mn tmrmn split ?1? (3) 8 ??? (4) ??? 8 ? inttmm1h/inttmm3h ? mdmn0 ? ?1? ? (5) 8 ?????? tmrmn ? cksmn1 cksmn0 ? (6) ? 8 ?? tshm1/tshm3 ??? tthm1/tthm3 ???? tehm1/tehm3 ???? (7) 8 ?? tmrmn ?? 3 ?? 8 ??? ? ? ? ?? ? ?? (8) ? 8 ?? tsm1/tsm3 ??? ttm1/ttm3 ??? ? tem1/tem3 ???? (9) 16 ?? t s hm1/tshm3/tthm1/tthm3 ?? tsm1/tsm3 ttm1/ ttm3 ?? 1 ? 3 tehm3 tehm1 ? (10) 8 ??? ] pwm ? pwm ? m ? m=0 n ? n=1 3
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 182 2014.10.31 6.5 6.5.1 ? f tclk ???? f tclk ????? mn tmrmn ccsmn ? ??? ?cksmn0 cksmn1 ?? f mck ?timn ?? ??? f clk ??? f tclk ?? (1) ? cksmn0 cksmn1 ?? f mck ccsmn=0 ????? m tpsm ?? f tclk ? f clk f clk /2 15 ? f clk ? ?? tpsm ??????? 1 f clk ?????? f clk ??? ? ?? f clk ??? mn tcrmn ?????? 1 f clk ? ?? ? ???? ? ? 6-22 f clk ?? f tclk ? ccsmn=0 ? 1. ?? ?? / ? 2. f clk cpu/ ?? f clk f tclk ( = f mck = ckmn) f clk /2 f clk /4 f clk /8 f clk /16 g g g g g g g g
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 183 2014.10.31 (2) ? timn ??? ccsmn=1 ?? f tclk ? timn ???? f mck ????? ? timn ??? 1 2 f mck ???????? 3 4 f mck ?? ?? f clk ??? mn tcrmn ?????? 1 f clk ? ?? ? timn ??? ? ? 6-23 ? f tclk ? ccsmn=1 ?? ? tsmn ????? timn ? ? f mck timn ? ?????? ?? ? 1. ?? ?? / ? 2. f clk cpu/ ?? f mck ? n ? 3. ??????????? timn ??? 4. n ? n=0 1 3 f mck tsmn(m) temn timneg9 f clk g? "?6 e?"??#e? "? ?# t "??#  ?(f tclk ) 7 8 9
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 184 2014.10.31 6.5.2 ??? ????? m tsm tsmn ??? mn tcrmn ?? ????? mn tcrmn ??? 6-6 ? 6-6 ????? mn tcrmn ??? ??? tsmn ?1? ? ??? ??? tsmn=1 ????? ? 1 ?? tdrmn ??? tcrmn ??? ??? ?6.5.3 (1) ??? ? ? ??? ? tsmn ? ?1? tdrmn ??? tcrmn ? ? timn ?????? ?6.5.3 (2) ??? ? ? ??? ???????? ? 1 ?? ?0000h? ? tcrmn ????? ?6.5.3 (3) ??? ? ? ? ?? ????? temn=0 ??? tsmn ? ?1? ??? ?? ???????? ? 1 ?? tdrmn ??? tcrmn ??? ??? ?6.5.3 (4) ?? ? ? ? & ?? ????? temn=0 ??? tsmn ? ?1? ??? ?? ???????? ? 1 ?? ?0000h? ? tcrmn ????? ?6.5.3 (5) ? & ?? ???? ?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 185 2014.10.31 6.5.3 ???? (1) ??? ? tsmn ? ?1? ?? temn=1 ?? mn tcrmn ?? ????? ?? 1 ? f mck ??? ? mdmn0 ? ?1? ????? inttmmn ?? 1 ????? mn tdrmn ?? tcrmn ? ????? tcrmn ?? ?0000h? ??? f mck inttmmn ? ??? mn tdrmn ?? tcrmn ? ? 6-24 ? ??? ? f mck ??? inttmmn f clk ? 1 ? ? ? 1 ?? tsmn ????????? 1 ? ??????? mdmn0 ?1? ????? f mck (f tclk ) m temn tcrmn m tdrmn inttmmn m?1 0000 m 0001 tsmn(m) ???o ?# ? ?? mdmn0=1 7 8 9: ;
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 186 2014.10.31 (2) ??? ???? temn=0 ???? mn tcrmn ??? ? tsmn ? ?1? ?? temn=1 tsmn temn ? ?1? ????? mn tdrmn ?? tcrmn ? ?? ? timn ???? tcrmn ??? ? 6-25 ? ??? ? 1. ????????? timn ??? 2 f mck 3 4 ? 1 ?? timn ? f mck ? 2. n ? n=0 1 3 f mck temn tcrmn tdrmn 2 m 1 m m m timneg9 ? ? tsmn(m) ???o ?# ? ?? audjs e?"??#e? "? ?# 7 7 8 9 9 :
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 187 2014.10.31 (3) ??? ? ? tsmn ? ?1? ?? temn=1 ?? mn tcrmn ??????? ?? 1 ? f mck ?????? ?0000h? ? tcrmn ? ????? mdmn0 ? ?1? ????? inttmmn ? timn ?? tcrmn ??? tdrmn ?? inttmmn ??????^ tcrmn ? ?0000h? ? ?? timn ?? tcrmn ??? tdrmn ?? inttmmn ? ? 6-26 ? ???? ? ????? timn ????????? 1 ?? ???? ? 0001 2 ??? ? ? 1 ?? tsmn ????????? 1 ? ??????? mdmn0 ?1? ????? ? 1. ????????? timn ??? 2 f mck 3 4 ? 1 ?? timn ? f mck ? 2. n ? n=0 1 3 0000 f mck (f tclk ) temn tcrmn tdrmn 0000 1 m inttmmn 0001 0000 m 0001 m timneg9 tsmn(m) ???o ?# ? ?? t "? e?"??# e?"??# ? 7 8 9 :; 9 mdmn0=1
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 188 2014.10.31 (4) ?? ? tsmn ? ?1? ?? temn=1 ?? mn tcrmn ???????? ? timn ? ???? tdrmn ?? m ? tcrmn ??? ? tcrmn ?? ?0000h? ? inttmmn ? tcrmn ??? ?ffffh? ?? . ? 6-27 ? ?? ? 1. ????????? timn ??? 2 f mck 3 4 ? 1 ?? timn ? f mck ? 2. n ? n=0 1 3 f mck (f tclk ) temn tcrmn inttmmn ffff 1 m0 timneg9 tsmn(m) ???o ?# ? ?? t "? e?"??# ???o eg9,x1y(?? 7 8 9 : ;
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 189 2014.10.31 (5) ? & ?? ???? ????? m tsm tsmn ? ?1? ?? temn=1 ?? mn tcrmn ???????? ? timn ? ???? ?0000h? ? tcrmn ??? ? timn ??? tcrmn ??? tdrmn ?? inttmmn ? ? 6-28 ? ? & ?????? ? 1. ????????? timn ??? 2 f mck 3 4 ? 1 ?? timn ?? f mck ? 2. n ? n=0 1 3 f mck (f tclk ) temn tcrmn tdrmn m + 1 1 m inttmmn 0000 m m 0000 timneg9 tsmn(m) ???o ?# ? ?? t "? ?l!"? e?"??# e?"??# ? 7 8 9 : ;
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 190 2014.10.31 6.6 ? tomn ?? 6.6.1 tomn ?? ? 6-29 ?? ? tomn ? ? tommn ? ?0? ???????? m tolm ??? inttmmp ????? m tom ? tommn ? ?1? ???? inttmmn ??? inttmmp ??? tom ? ? tolm ?????? tolmn=0 ? inttmmn inttmmp tolmn=1 ? inttmmn inttmmp ?? inttmmn inttmmp ? pwm 0% inttmmp ?? inttmmn ?? ?? toemn=1 ?? inttmmn ??? inttmmp ??? tom ? tom ? tomn ?? toemn ? ?1? ???? tomn ? ? tomn ????????? toemn=0 tom ? ? ??? toemn=0 ??? tomn ? tomn ?? ? ???? toemn=0 ? inttmmn ??? inttmmp ??? tom ? ? tom ?? tomn ?? ? m ? m=0 n ? n=0 3 ? n=0 2 p ? n=0 p=1 3 n=2 p=3 {e?f',x? ? (inttmmn) tolmn tommn toemn 7 8 9 : ; tomnm ? tomn6? tomn?, < 5b! !x yf?4? 2e?f',x? ? (inttmmp) {
+ c?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 191 2014.10.31 6.6.2 tomn ?? tomn ?????????? ? 6-30 ????? ???? ?tommn 0 ??? 1 ??? ?tolmn 0 ? 1 ? ???? m tom ??????? ? toemn ? ?1? ?? ? tom ? ?????? pmcxx ??? / ? 6.3.14 ???? 0 1 3 pm0 pm1 pm3 ? ?? / ?? ? 6.3.14 ???? 0 1 3 pm0 pm1 pm3 ? ?? tsmn=1 ? m ? m=0 n ? n=0 1 3 tcrmn n <*6? n ? tomnm?0/u!6k hi-z ;
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 192 2014.10.31 6.6.3 ?? (1) ?? tom toem tolm tomm ??? ? ?? mn tcrmn ???? mn tdrmn tomn ???? m tom ?? m toem ? ?? m tolm ????? m tomm ???????? ?????? tomn ???? 6.8 6.9 ??????? ????? inttmmn ??? tom ? toem ? tolm ? tomm ?????? inttmmn ????? tomn ??? ? m ? m=0 n ? n=0 1 3 (2) tomn ???????? ????? toemn=0 ???? m tom ? ??????? toemn=1 ? tomn ??? (a) ??? tommn=0 ? ??? tommn=0 ??? m tolm ?? ??????? tomn ?? ? 6-31 ? tommn=0 tomn ?? ? 1. tomn ??? 2. m ? m=0 n ? n=0 1 3 hi - z toe mn tomn (eg? ) tomn=0 (??(???"+ g) ?? (?? a?0? eg?? x x x x x 2k4??y+ g tomn=1 (??(???p?+ g) tomn=0 (??(???"+ g) tomn=1 (??(???p?+ g) tolmn=0 (yp?+ g) tolmn=1 (y"+ g)
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 193 2014.10.31 (b) ???? tommn=1 ? pwm ???? tommn=1 ?????? m tolmn ? ? 6-32 pwm ? tommn=1 tomn ?? ? 1. tomn ?????? tomn ?????? 2. m ? m=0 n ? n=0 1 3 hi -z y+ g toemn ?? (?? 5b! ! 5b! ! 5b! a?0? eg?? tomp (eg?) y+ g y+ g tomp=0 (??(???"+ g ) tomp=1 (??(???p?+ g) tomp=0 (??(???"+ g ) tomp=1 (??(???p?+ g) tolmp=0 (yp?+ g) tolmp=1 (y"+ g)
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 194 2014.10.31 (3) ???? tommn=1 tomn ? (a) ?????? m tolm ? ?? tolm ??? tomn ????? tolm ?? tomn ?? tommn ? ?1? ??? temn=1 tolm ???? ? 6-33 ?? tolm ?? ? 1. tomn ?????? tomn ?????? 2. m ? m=0 n ? n=0 1 3 (b) / ? ? pwm ?? 0% 100% ???? inttmmn? tomn /tomn ??? 1 ?? ????? ? / ?? / ?? ? 6-34 ? ? toemn=1 tommn=0 tolmn=0 ? toemp=1 tommp=1 tolmp=0 tolm to0m () 5b! 5b! ! ! 5b! 5b! ! ! eg? y+ g y+ g y+ g y+ g
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 195 2014.10.31 ? 6-34 / ??? (1) ? (2) 0% ???? ? 1. ??? tomn ?? / ? ??? tomn ?? 2. m ? m=0 n ? n=0 3 ? n=0 2 p ? n=0 p=1 3 n=2 p=3 f tclk tomn6?tomn inttmmp inttmmn tomp6?tomp yf?! ? yf?! ? yf?! ? {e?f' 2e?f' e3 1t js? x x 5b! 5b! ! f tclk 0000 0001 0000 0001 tomn6?tomn tcrmp inttmmp inttmmn tomp6?tomp yf?! ? yf?! ? yf?! ? {e?f' 2e?f' e3 1t js? x x 5b! 5b! ! ! ! !
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 196 2014.10.31 6.6.4 tomn ?? ????? m tsm ??? m tom ??? tomn ????? tomn ????? tomn tomn ? toemn=0 ?? ? 6-35 to0n ?? ? ? ? toemn ? ?0? tomn toemn ? ?1? tomn ? toemn ? ?1? tomn ???? tomn ???? ? 6-36 ?? to0n ? to0n ?? ? ?? toemn=1 ?????? inttmmn tomn ? tomn ? ? m ? m=0 n ? n=0 1 3 to0000000000000to03 1 0to01 1 to00 0 toe0000000000000 toe03 0 0 toe01 0 toe00 1 0000000000000011 to0000000000000to03 0 0to01 1 to00 0 to03 to01 to00 '"u> eg? 3 * ?? m! 'toe0n!?1? ???to0n!,xm?0? mto0n!?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 197 2014.10.31 6.6.5 ?????? tomn ???????????? mn tmrmn mdmn0 ???? ??? mdmn0 ? ?1? ??? inttmmn ???? ???????? tomn ????? toemn=1 tommn=0 ?? ? 6-37 ????? tomn (a) mdmn0 ? ?1? (b) mdmn0 ? ?0? mdmn0 ? ?1? ????? inttmmn tomn mdmn0 ? ?0? ????? inttmmn tomn ?? 1 ? inttmmn tomn ? m ? m=0 n ? n=0 1 3 tcrmn temn tomn inttmmn ??aud tcrmn temn tomn inttmmn ??aud
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 198 2014.10.31 6.7 ? timn ? 6.7.1 timn ?? ?????????? ????????? ? 6-38 ?? 6.7.2 ? ????? n ? f mck ????? n ?? f mck ? 2 ???? tlmn ? on off ??? ? 6-39 timn ? on off ? ? tlmn ???? on off ???? ?28.5 ac ? ? tlmn ????? f tclk ccsmn stsmn2  stsmn0 cismn1 ? cismn0 tnfenmn timn 6? f mck {e?f',x? ? e?"??# audjsey? ?o ey? n < {
+ c? >? $?"? < (f mck ) timn 6? >?$?"? < off >?$?"? < on e> js
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 199 2014.10.31 6.7.3 ??? ???????????????? ???????????? (1) ?? off ????? mn tmrmn bit12 ccsmn bit9 stsmn1 bit8 stsmn0 ?? ?0? ??????? 2 ?? f mck ??????? tsm ? (2) ?? on ????? mn tmrmn bit12 ccsmn bit9 stsmn1 bit8 stsmn0 ?? ?0? ??????? 4 ?? f mck ??????? tsm ?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 200 2014.10.31 6.8 ???? 6.8.1 ?? / (1) ? ?? inttmmn ????? ???? (2) ? tomn ? inttmmn ????? 50% ? tomn ????? ?????? mn tcrmn ? ????? m tsm ?? tsmn tshm1 tshm3 ?1? ? 1 ????? mn tdrmn ?? tcrmn ?????? mn tmrmn mdmn0 ? ?0? ? inttmmn tomn ? tmrmn ? mdmn0 ? ?1? inttmmn tomn ? tcrmn ????? tcrmn ? ?0000h? ??? inttmmn tomn ??? tdrmn ??? tcrmn ????? ? tdrmn ? tdrmn ????? ? 1. m ? m=0 n ? n=0 3 2. ? 2 ??? tomn ? n=0 1 3 inttmmn ??? = ? (tdrmn ?? +1) ?tomn ? = ? (tdrmn ?? +1) 2 ?tomn ?? = ?? / {(tdrmn ?? +1) 2}
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 201 2014.10.31 ? 6-40 ?? / ?? ? ? 1 ? 3 ?? ckm0 ckm1 ckm2 ckm3 ??? ? 6-41 ?? / ?? mdmn0=1 ? 1. m ? m=0 n ? n=0 3 2. ? 2 ??? tomn ? n=0 1 3 3. tsmn ???? m tsm bit n temn ????? m tem bit n tcrmn ?? mn tcrmn tdrmn ??? mn tdrmn tomn tomn ? ckm0 ckm1 tsmn n + c? eg? {
+ c? "? e> js ?o ey? jsey? tsmn temn tdrmn tcrmn tomn inttmmn a a+1 b 0000h a+1 a+1 b+1 b+1 b+1
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 202 2014.10.31 ? 6-42 ? / ???? (a) ???? mn tmrmn 1514131211109876543210 tmrmn cksm n 1 1/0 cksmn0 1/0 0 ccsmn ? 2 0 m/s ? 1 0/1 stsmn2 0 stsmn1 0 stsmn0 0 cismn1 ? 2 0 cismn0 ? 2 000 mdmn3 0 mdmn2 0 mdmn1 0 mdmn0 1/0 ? n ?? 000b ? ??? 0 ??? inttmmn ??? 1 ??? inttmmn ??? timn ?? 00b ?? ?00? ?? 000b ??? mastermn ? ? 2 0 ? splitmn ? ? 1 3 0 16 ? 1 8 ? ??? 0 ?? f mck ? f mck ? 00b ? ckm0 ?? n ?? 10b ? ckm1 ?? n ?? 01b ? ckm2 ?? 1 3 ? ?? 1 3 ??? 11b ? ckm3 ?? 1 3 ? ?? 1 3 ??? (b) ?? m tom bit n tom tomn 1/0 0 tomn ?0? 1 tomn ?1? (c) ?? m toem bit n toem toemn 1/0 0 ???? tomn 1 ?? tomn (d) ??? m tolm bit n tolm tolmn 0 0 tommn=0 ???? ?0? (e) ???? m tomm bit n tomm tommn 0 0 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 203 2014.10.31 ? 1. tmrm2 mastermn tmrm1 tmrm3 splitmn tmrm0 ?? ?0? 2. tmrm2 ?? ?0? ? 1. m ? m=0 n ? n=0 3 2. ? 2 ??? tomn toemn tolmn tommn ? n=0 1 3 ? 6-43 ? / ?? (1/2) ??? tau ? ? ?? 0 ??????? ?????? ? 0 per0 taumen ?1? ?? 0 ????? ????? ????? m tpsm ? ckm0 ckm3 ??? ? ?? ????? mn tmrmn ?? ?? ??? mn tdrmn ? ?? ????? ????? ? tomn ???? m tomm tommn ?0? ??? tolmn ?0? ? tomn ? tomn ??? toemn ?1? tomn ???????? ?0? tomn ? hi-z ?? ???????????? ?0? ? tomn ???? ?????? tomn ? tomn tomn ??? ? ? ?? to mn ??? toemn ?1? tsmn tshm1 tshm3 ?1? ? tsmn tshm1 tshm3 ? ?? ?0? temn tehm1 tehm3 ? ?1? ?? ??? tdrmn ???? ?? mn tcrmn tmrmn ? mdmn0 ? ?1? ? inttmmn tomn ? tmrmn ? tommn tolmn ?? tdrmn ??? ? tcrmn ? ? tsrmn ? ? tom ? toem ??? tcrmn ? ?0000h? ? tdrmn ??? tcrmn ? ? tcrmn ? ?0000h? ? inttmmn tomn ?? ?? ttmn tt hm1 tt hm3 ?1? ? ttmn tthm1 tthm3 ? ?? ?0? temn tehm1 tehmn ? ?0? ?? tcrmn ????? tomn ??? toemn ?0? ? tomn ?? tomn tomn ???
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 204 2014.10.31 ? 6-43 ? / ?? (2/2) ? 1, m ? m=0 n ? n=0 3 2. ? 2 ??? tomn toemn tolmn tommn ? n=0 1 3 ??? tau ?? ? tomn ? ???????? tomn ?0? ? tomn ? ?? ???? tomn ?? per0 ? taumen ?0? ?? 0 ??????? ??? sfr ? tomn ? ?0? tomn ?????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 205 2014.10.31 6.8.2 ??? ??? timn ???? ???????? ????? mn tcrmn ? ????? m tsm ?? tsmn tshm1 tshm3 ?1? ??? mn tdrmn ?? tcrmn ? tcrmn ??? timn ???? tcrmn ? ?0000h? ?? tdrmn ?? inttmmn ???? ? tomn ???????? m toem toemn ?0? ?? ? tdrmn ? tdrmn ???? ? 6-44 ????? ? m ? m=0 n ? n=0 1 3 ?? = tdrmn ?? +1 e?"? ?# timn6? tsmn ? ? (inttmmn) ? {
+ c? n ? $?"? < jsey? ?o ey? tnfenxx
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 206 2014.10.31 ? 6-45 ????? ? 1. m ? m=0 n ? n=0 1 3 2. tsmn ???? m tsm bit n temn ????? m tem bit n timn timn ? tcrmn ?? mn tcrmn tdrmn ??? mn tdrmn tsmn temn timn tdrmn tcrmn 0003h 0002h 0 0000h 1 3 0 1 2 0 1 2 1 2 3 2 inttmmn t_ t_ t_
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 207 2014.10.31 ? 6-46 ???????? ? 1. tmrm2 mastermn tmrm1 tmrm3 splitmn tmrm0 ?? ?0? 2. tmrm2 ?? ?0? ? m ? m=0 n ? n=0 1 3 (a) ???? mn tmrmn 1514131211109876543210 tmrmn cksmn1 1/0 cksmn0 1/0 0 ccsmn ? 2 1 m/s ? 1 0/1 stsmn2 0 stsmn1 0 stsmn0 0 cismn1 ? 2 1/0 cismn0 ? 2 1/0 0 0 mdmn3 0 mdmn2 1 mdmn1 1 mdmn0 0 ? n ?? 011b ??? ??? 0 ??? inttmmn ??? timn ?? 00b ?? 01b ? 10b ?? 11b ?? ?? 000b ??? mastermn ? ? 2 0 ? splitmn ? ? 1 3 0 16 ? 1 8 ? ??? 1 ? timn ? ? f mck ? 00b ? ckm0 ?? n ?? 10b ? ckm1 ?? n ?? 01b ? ckm2 ?? 1 3 ? ?? 1 3 ??? 11b ? ckm3 ?? 1 3 ? ?? 1 3 ??? (b) ?? m tom bit n tom tomn 0 0 tomn ?0? (c) ?? m toem bit n toem toemn 0 0 ???? tomn (d) ??? m tolm bit n tolm tolmn 0 0 tommn=0 ???? ?0? (e) ???? m tomm bit n tomm tommn 0 0 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 208 2014.10.31 ? 6-47 ???? ? m ? m=0 n ? n=0 1 3 ??? tau ? ? ?? 0 ??????? ?????? ? 0 per0 taumen ?1? ?? 0 ?????? ???? ????? ????? m tpsm ? ckm0 ckm3 ??? ? ?? ?? 1 nfen1 ?? ?0? off ?1? on ????? mn tmrmn ?? ?? ??? mn tdrmn ?? ?? m toem toemn ?0? ????? ????? ? ? tsmn ?1? ? tsmn ??? ?0? temn ? ?1? ?? tdrmn ?????? mn tcrmn ti mn ??? ?? tdrmn ??? ? tcrmn ? ? tsrmn ? ? tmrmn ? tommn tolmn tomn toemn ?? ?? timn ?? tcrmn ?? ?0000h? ? tdrmn ??? tcrmn ? ? tcrmn ? ?0000h? ? inttmmn ?? ?? ttmn ?1? ? ttmn ??? ?0? temn ? ?0? ?? tcrmn ????? tau ?? per0 ? taumen ?0? ?? 0 ??????? ??? sfr ?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 209 2014.10.31 6.8.3 ?? ??? 0 ? 0 ? ti00 ??? to00 ?? to00 ??????? ?????? 00 tcr00 ????? 0 ts0 ?? ts00 ?1? ?? ti00 ??? 00 tdr00 ?? tcr00 ?????? 00 tmr00 md000 ? ?0? ? inttm00 to00 tmr00 ? md000 ? ?1? inttm00 to00 ? tcr00 ?? ti00 ?? tcr00 ? ?0000h? to00 ? ?? tdr00 ??? tcr00 ? ? ti00 ????????? to00 ???? to00 ?? 1 ??? ? tdr00 ? tdr00 ???? ? 6-48 ???? ? ???? ??? = ?? / {(tdr00 ?? + 1) 2} ? ??? ?????? / (tdr00 ?? + 1) to 0 0 ? = to00 ? ? ? ti006? ts00 t o006? tnfen00 e?"? ?# eg? {
+ c? n ? $?"? < jsey? ?o ey?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 210 2014.10.31 ? 6-49 ???? md000=1 ? ts00 ???? 0 ts0 bit0 te00 ????? 0 te0 bit0 ti00 ti00 ? tcr00 ?? 00 tcr00 tdr00 ??? 00 tdr00 to00 to00 ? ts00 te00 ti00 tdr00 tcr00 to00 inttm00 0002h 6ne 0001h 0 0000h 1 2 0 1 2 0 1 0 1 0 1 0 1 0 1 2 4ne
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 211 2014.10.31 ? 6-50 ?????? (a) ???? 00 tmr00 1514131211109876543210 tmr00 cks001 1/0 cks000 00 ccs00 10 sts002 0 sts001 0 sts000 0 cis001 1/0 cis000 1/0 0 0 md003 0 md002 0 md001 0 md000 1/0 ? 0 ?? 000b ? ??? 0 ??? inttm00 ??? 1 ??? inttm00 ??? ti00 ?? 00b ?? 01b ? 10b ?? 11b ?? ?? 000b ??? ??? 1? ti00 ? ? f mck ? 00b ? ck00 ?? 0 ?? 10b ? ck01 ?? 0 ?? (b) ?? 0 to0 bit0 to0 to00 1/0 0 to00 ?0? 1 to00 ?1? (c) ?? 0 toe0 bit0 toe0 toe00 1/0 0 ???? to00 1 ?? to00 (d) ??? 0 tol0 bit0 tol0 tol00 0 0 tom00=0 ???? ?0? (e) ???? 0 tom0 bit0 tom0 tom00 0 0 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 212 2014.10.31 ? 6-51 ??? ??? tau ? ? ?? 0 ??????? ?????? ? 0 per0 tau0en ?1? ?? 0 ?????? ???? ????? ????? 0 tps0 ? ck00 ck03 ??? ? ?? ?? 1 nfen1 ?? ?0? off ?1? on ????? 00 tmr00 ?? ???? ??? 00 tdr00 ? ?? ????? ????? ???? 0 tom0 tom00 ?0? ??? tol00 ?0? ? to00 ? to00 ??? toe00 ?1? to00 ???????? ?0? to00 ? hi-z ?? ???????????? ?0? ? to 0 0 ???? ?????? to00 ? to00 to00 ?? ? ? toe00 ?1? ??? ts00 ?1? ? ts00 ??? ?0? te00 ? ?1? ?? ??? tdr00 ????? ? 00 tcr00 tmr00 ? md000 ? ?1? ? inttm00 to00 tdr00 ??? ? tcr00 ? ? tsr00 ? ? to0 ? toe0 ??? ? tmr00 ? tom00 tol00 ?? tcr00 ? ?0000h? ? tdr00 ??? tcr00 ?? tcr00 ? ?0000h? ? inttm00 to00 ?? ?? tt00 ?1? ? tt0 0 ??? ?0? te0 0 ? ?0? ?? tcr00 ????? to00 ??? toe00 ?0? ? to00 ?? to00 to00 ?? tau ?? ? to00 ? ???????? to00 ?0? ? to00 ? ?? ???? to00 ?? per0 ? tau0en ?0? ?? 0 ??????? ??? sfr ? to00 ? ?0? to00 ?????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 213 2014.10.31 6.8.4 ? timn ??? timn ? temn ? ?1? ???? tsmn=1 ????? ??? ? ?????? mn tmrmn cksmn ??? timn ? 1 ?? ?????? mn tcrmn ???? m tsm ?? tsmn ?1? tcrmn ?? ?? ?0000h? ? ? timn ?? tcrmn ??? ???? mn tdrmn ?? tcrmn ? ?0000h? ? inttmmn ?? ???? mn tsrmn ovf ?1? ? ovf ???? ??? tdrmn ?????? tsrmn ? ovf ?????? ? 2 ? 2 ??? tsrmn ? ovf ?1? ?? 2 2 ???? ovf ? tmrmn ? stsmn2 stsmn0 ?001b? timn ???? ? 6-52 ??? ? ? 1 ? 3 ?? ckm0 ckm1 ckm2 ckm3 ??? ? m ? m=0 n ? n=0 1 3 timn = ?? ((10000h tsrmn:ovf) + (tdrmn ??? +1)) ? ? (inttmmn) ? {
+ c? e> js "? ckm0 ckm1 tsmn n ? $?"? < jsey? ?o ey? tnfenxx
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 214 2014.10.31 ? 6-53 ?? mdmn0=0 ? 1. m ? m=0 n ? n=0 1 3 2. tsmn ???? m tsm bit n temn ????? m tem bit n timn timn ? tcrmn ?? mn tcrmn tdrmn ??? mn tdrmn ovf ???? mn tsrmn bit0 tsmn temn timn tdrmn tcrmn 0000h c b 0000h a c d inttmmn ffffh b a d ovf
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 215 2014.10.31 ? 6-54 ???? ? 1. tmrm2 mastermn tmrm1 tmrm3 splitmn tmrm0 ?? ?0? 2. tmrm2 ?? ?0? ? m ? m=0 n ? n=0 1 3 (a) ???? mn tmrmn 1514131211109876543210 tmrmn cksmn1 1/0 cksmn0 00 ccsmn ? 2 0 m/s ? 1 0 stsmn2 0 stsmn1 0 stsmn0 1 cismn1 ? 2 1/0 cismn0 ? 2 1/0 0 0 mdmn3 0 mdmn2 1 mdmn1 0 mdmn0 1/0 ? n ?? 010b ??? ??? 0 ??? inttmmn 1 ??? inttmmn timn ?? 00b ?? 01b ? 10b ?? 11b ?? ?? 001b ? timn ? mastermn ? ? 2 0 ? splitmn ? ? 1 3 0 16 ? ??? 0 ?? f mck ? f mck ? 00b ? ckm0 ?? n ?? 10b ? ckm1 ?? n ?? 01b ? ckm2 ?? 1 3 ? ?? 1 3 ??? 11b ? ckm3 ?? 1 3 ? ?? 1 3 ??? (b) ?? m tom bit n tom tomn 0 0 tomn ?0? (c) ?? m toem bit n toem toemn 0 0 ???? tomn (d) ??? m tolm bit n tolm tolmn 0 0 tommn=0 ???? ?0? (e) ???? m tomm bit n tomm tommn 0 0 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 216 2014.10.31 ? 6-55 ?? ? m ? m=0 n ? n=0 1 3 ??? tau ? ? ?? 0 ??????? ?????? ? m taumen ?1? ?? 0 ?????? ???? ????? ????? m tpsm ? ckm0 ckm3 ??? ? ?? ????? mn tmrmn ?? ?? ?? 1 nfen1 ?? ?0? off ?1? on ????? ????? ? ? tsmn ?1? ? tsmn ??? ?0? temn ? ?1? ?? ????? mn tcrmn ?0000h? tmrmn ? mdmn0 ? ?1? ? inttmmn ?? tmrmn ? cismn 1 cismn0 ?? ? tdrmn ? ? tcrmn ? ? tsrmn ? ? tommn tolmn tomn toemn ?? tcrmn ?0000h? ? ? timn ??? ???? mn tdrmn ? ? tcrmn ? ?0000h? ? inttmmn ?????? mn tsrmn ovf ? ovf ?? ?? ttmn ?1? ? ttmn ??? ?0? temn ? ?0? ?? tcrmn ????? tsrmn ? ovf tau ?? per0 ? taumen ?0? ?? 0 ??????? ??? sfr ?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 217 2014.10.31 6.8.5 ??????? ? timn ??????? timn ???? ?? timn ????? ? ?????? mn tmrmn cksmn ??? timn ? 1 ?? ?? & ???? mn tcrmn ???? m tsm ?? tsmn ?1? temn ?? ?1? timn ??????? ? timn ???????? timn ?? ? ?0000h? ???? ????? timn ???????? mn tdrmn ?? inttmmn ? ????? mn tsrmn ovf ?? ovf tcrmn ??? ? ? tdrmn ?? +1? ??? timn ???? ?????? ??? tdrmn ?????? tsrmn ? ovf ?????? ? 2 ? 2 ??? tsrmn ? ovf ?1? ?? 2 2 ???? ovf ? ? tmrmn ? cismn1 cismn0 ?? timn ????????? ?? timn ??????? temn ? ?1? ? ts m n ?1? tmrmn ? cismn1 cismn0=10b ??? tmrmn ? cismn1 cismn0=11b ??? timn ?? = ?? ((10000h tsrmn:ovf) + (tdrmn ??? + 1))
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 218 2014.10.31 ? 6-56 ????????? ? ? 1 ? 3 ?? ckm0 ckm1 ckm2 ckm3 ??? ? 6-57 ???????? ? 1. m ? m=0 n ? n=0 1 3 2. tsmn ???? m tsm bit n temn ????? m tem bit n timn timn ? tcrmn ?? mn tcrmn tdrmn ??? mn tdrmn ovf ???? mn tsrmn bit0 ? ? (inttmmn) ? {
+ c? e> js "? ckm0 ckm1 n ? $?"? < jsey? ?o ey? tnfenxx tsmn temn timn tdrmn tcrmn b 0000h a c inttmmn ffffh b a c ovf 0000h
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 219 2014.10.31 ? 6-58 ?????????? ? 1. tmrm2 mastermn tmrm1 tmrm3 splitmn tmrm0 ?? ?0? 2. tmrm2 ?? ?0? ? m ? m=0 n ? n=0 1 3 (a) ???? mn tmrmn 1514131211109876543210 tmrmn cksmn1 1/0 cksmn0 00 ccsmn ? 2 0 m/s ? 1 0 stsmn2 0 stsmn1 1 stsmn0 0 cismn1 ? 2 1 cismn0 ? 2 1/0 0 0 mdmn3 1 mdmn2 1 mdmn1 0 mdmn0 0 ? n ?? 110b ? & ??? 0 ??? inttmmn timn ?? 10b ?? ??? 11b ?? ??? ?? 010b ? timn ? mastermn ? ? 2 0 ? splitmn ? ? 1 3 0 16 ? ??? 0 ?? f mck ? f mck ? 00b ? ckm0 ?? n ?? 10b ? ckm1 ?? n ?? 01b ? ckm2 ?? 1 3 ? ?? 1 3 ??? 11b ? ckm3 ?? 1 3 ? ?? 1 3 ??? (b) ?? m tom bit n tom tomn 0 0 tomn ?0? (c) ?? m toem bit n toem toemn 0 0 ???? tomn (d) ??? m tolm bit n tolm tolmn 0 0 tommn=0 ???? ?0? (e) ???? m tomm bit n tomm tommn 0 0 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 220 2014.10.31 ? 6-59 ???????? ? m ? m=0 n ? n=0 1 3 ??? tau ? ? ?? 0 ??????? ?????? ? 0 per0 taumen ?1? ?? 0 ?????? ???? ????? ????? m tpsm ? ckm0 ckm3 ??? ? ?? ?? 1 nfen1 ?? ?0? off ?1? on ????? mn tmrmn ?? ?? toemn ?0? ?? tomn ????? ????? ? ? tsmn ?1? ? tsmn ??? ?0? temn ? ?1? ? timn ???? ??? ti mn ??? ?? mn tcrmn ?00 00h? ?? tdrmn ??? ? tcrmn ? ? tsrmn ? ? tmrmn ? tommn tolmn tomn toemn ?? ?? timn ?????? tcrmn ?0000h? ?? timn ???????? ?? mn tdrmn ? inttmmn ?????? mn tsrmn ovf ?? ovf tcrmn ???? timn ?????? ?? ?? ttmn ?1? ? ttmn ??? ?0? temn ? ?0? ?? tcrmn ????? tsrmn ? ovf tau ?? per0 ? taumen ?0? ?? 0 ??????? ??? sfr ?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 221 2014.10.31 6.8.6 ??? ? timn ??????? inttmmn ?? temn ? ?1? ??? tsmn ?1? ??? inttmmn ?? ???? ????? mn tcrmn ? ???? m tsm ?? tsmn tshm1 tshm3 ?1? temn tehm1 tehm3 ?? ?1? ? timn ????? ? timn ??? tcrmn ?????? mn tdrmn ? tcrmn ????? tdrmn ???? tcrmn ? ?0000h? inttmmn ??? timn ??? ? tdrmn ? tdrmn ????? ? 6-60 ????? ? ? 1 ? 3 ?? ckm0 ckm1 ckm2 ckm3 ??? ? m ? m=0 n ? n=0 1 3 inttmmn ??? = ?? (tdrmn ?? + 1) ? ? (inttmmn) ? {
+ c? e> js "? ckm0 ckm1 tsmn n ? $?"? < tnfenxx jsey? ?o ey?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 222 2014.10.31 ? 6-61 ???? ? 1. m ? m=0 n ? n=0 1 3 2. tsmn ???? m tsm bit n temn ????? m tem bit n timn timn ? tcrmn ?? mn tcrmn tdrmn ??? mn tdrmn temn tdrmn tcrmn inttmmn ab 0000h a+1 b+1 ffffh timn tsmn
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 223 2014.10.31 ? 6-62 ?????? ? 1. tmrm2 mastermn tmrm1 tmrm3 splitmn tmrm0 ?? ?0? 2. tmrm2 ?? ?0? ? m ? m=0 n ? n=0 1 3 (a) ???? mn tmrmn 1514131211109876543210 tmrmn cksmn1 1/0 cksmn0 1/0 0 ccsmn ? 2 0 m/s ? 1 0/1 stsmn2 0 stsmn1 0 stsmn0 1 cismn1 ? 2 1/0 cismn0 ? 2 1/0 0 0 mdmn3 1 mdmn2 0 mdmn1 0 mdmn0 1/0 ? n ?? 100b ?? ?? 0 1 timn ?? 00b ?? 01b ? 10b ?? 11b ?? ?? 001b ? timn ? mastermn ? ? 2 0 ? splitmn ? ? 1 3 0 16 ? 1 8 ? ??? 0 ?? f mck ? f mck ? 00b ? ckm0 ?? n ?? 10b ? ckm1 ?? n ?? 01b ? ckm2 ?? 1 3 ? ?? 1 3 ??? 11b ? ckm3 ?? 1 3 ? ?? 1 3 ??? (b) ?? m tom bit n tom tomn 0 0 tomn ?0? (c) ?? m toem bit n toem toemn 0 0 ???? tomn (d) ??? m tolm bit n tolm tolmn 0 0 tommn=0 ???? ?0? (e) ???? m tomm bit n tomm tommn 0 0 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 224 2014.10.31 ? 6-63 ???? ? m ? m=0 n ? n=0 1 3 ??? tau ? ? ?? 0 ??????? ?????? ? 0 per0 taumen ?1? ?? 0 ?????? ???? ????? ????? m tpsm ? ckm0 ckm3 ??? ? ?? ?? 1 nfen1 ?? ?0? off ?1? on ????? mn tmrmn ?? n ?? ??? mn tdrmn ?? ?? toemn ?0? ?? tomn ????? ????? ? ? tsmn ?1? ? tsmn ??? ?0? temn ? ?1? ??? ti mn ?? tsmn ?1? ?? ?? ?????? ? timn ? ? ? tsmn ?1? tdrmn ?????? mn tcrmn tdrmn ??? ? tcrmn ? ? tsrmn ? tcrmn ? tcrmn ?0000h? inttmmn ?? ?? timn ? ? tsmn ?1? ? tcrmn ? ?0000h? ?? ?? ttmn ?1? ? ttmn ??? ?0? temn ? ?0? ?? tcrmn ????? tau ?? per0 ? taumen ?0? ?? 0 ??????? ??? sfr ?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 225 2014.10.31 6.9 ???? 6.9.1 ?? 2 ????? timn ???^ ????? ?????????????? mn tcrmn ?????? mn tdrmn ? tcrmn ???? ? tdrmn ???? tcrmn ? ?0000h? inttmmn ?? ????? ?????? inttmmn ??? tcrmp ??? tdrmp ?? tcrmp ????? tdrmp ????? ?0000h? inttmmp ???? ? inttmmn ????? inttmmn ? 1 ?? tomp ?? ? tcrmp ? ?0000h? ??? ? timn ?? tsmn=1 ??^ ? ?????? mn tdrmn ?? tdrmp ???? ? tdrmn ? tdrmp ??? inttmmn tdrmn ? ? inttmmp tdrmp ? ? m ? m=0 n ? n=0 2 p ? n=0 p=1 3 n=2 p=3 ? = {tdrmn ??? + 2} ? = {tdrmp ?? } ?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 226 2014.10.31 ? 6-64 ??? ? m ? m=0 n ? n=0 2 p ? n=0 p=1 3 n=2 p=3 ? ? (inttmmn) ? {
+ c? e> js ckm0 ckm1 tsmn ? ? (inttmmp) ? {
+ c? e> js ckm0 ckm1 tomp6? eg? {
+ c? {e?f' ( ) ?aud??) 2e?f' ( ) ?aud??) e?"? ?# n ? $?"? < tnfenxx jsey? ?o ey? jsey? ?o ey?
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 227 2014.10.31 ? 6-65 ??? ? 1. m ? m=0 n ? n=0 2 p ? n=0 p=1 3 n=2 p=3 2. tsmn tsmp ???? m tsm bit n p temn temp ????? m tem bit n p timn timp timn ? timp ?? tcrmn tcrmp ?? mn mp tcrmn tcrmp tdrmn tdrmp ??? mn mp tdrmn tdrmp tomn tomp tomn ? tomp ?? temn tdrmn tcrmn tomn inttmmn a b 0000h tsmp temp tdrmp tcrmp tomp inttmmp 0000h b {e?f' 2e?f' a+2 b a+2 ffffh ffffh timn tsmn
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 228 2014.10.31 ? 6-66 ? ???? ? m ? m=0 n ? n=0 2 (a) ???? mn tmrmn 1514131211109876543210 tmrmn cksmn1 1/0 cksmn0 00 ccsmn 0 mas termn 1 stsmn2 0 stsmn1 0 stsmn0 1 cismn1 1/0 cismn0 1/0 0 0 mdmn3 1 mdmn2 0 mdmn1 0 mdmn0 0 ? n ?? 100b ?? ?? 0 timn ?? 00b ?? 01b ? 10b ?? 11b ?? ?? 001b ? timn ? mastermn ? ? 2 1 ? ??? 0 ?? f mck ? f mck ? 00b ? ckm0 ?? n ?? 10b ? ckm1 ?? n ?? (b) ?? m tom bit n tom tomn 0 0 tomn ?0? (c) ?? m toem bit n toem toemn 0 0 ???? tomn (d) ??? m tolm bit n tolm tolmn 0 0 tommn=0 ???? ?0? (e) ???? m tomm bit n tomm tommn 0 0 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 229 2014.10.31 ? 6-67 ? ???? ? 1. tmrm2 mastermp tmrm1 tmrm3 splitmp 2. tmrm2 ?? ?0? ? m ? m=0 n ? n=0 2 p ? n=0 p=1 3 n=2 p=3 (a) ???? mp tmrmp 1514131211109876543210 tmrmp cksmp1 1/0 cksmp0 00 ccsmp ? 2 0 m/s ? 1 0 stsmp2 1 stsmp1 0 stsmp0 0 cismp1 ? 2 0 cismp0 ? 2 000 mdmp3 1 mdmp2 0 mdmp1 0 mdmp0 0 ? p ?? 100b ?? ?? 0 timp ?? 00b ?? ?00b? ?? 100b ?? inttmmn mastermp ? ? 2 0 ? splitmp ? ? 1 3 0 16 ? ??? 0 ?? f mck ? f mck ? 00b ? ckm0 ?? p ?? 10b ? ckm1 ?? p ?? ??? (b) ?? m tom bit p tom tomp 1/0 0 tomp ?0? 1 tomp ?1? (c) ?? m toem bit p toem toemp 1/0 0 ???? tomp 1 ?? tomp (d) ??? m tolm bit p tolm tolmp 1/0 0 ? ?? 1 ? ?? (e) ???? m tomm bit p tomm tommp 1 1 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 230 2014.10.31 ? 6-68 ?? (1/2) ??? tau ? ? ?? 0 ??????? ?????? ? 0 per0 taumen ?1? ?? 0 ?????? ???? ????? ????? m tpsm ? ckm0 ckm1 ??? ? ?? ?? 1 nfen1 ?? ?1? ??? 2 ?????? mn mp tmrmn tmrmp ???? ????? mn tdrmn ????? tdrmp ? ?? ????? ????? ?? ???? m tomm tommp ?1? ??? ? tolmp ? tomp ? tomp ??? toemp ?1? tomp ???????? ?0? tomp ? hi-z ?? ???????????? ?0? ? tomp ???? ?????? to m p ? tomp tomp ???
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 231 2014.10.31 ? 6-68 ?? (2/2) ? m ? m=0 n ? n=0 2 p ? n=0 p=1 3 n=2 p=3 ??? ? ? toemp ?1? ??? ???? m tsm tsmn ? tsmp ?? ?1? ? tsmn tsmp ?? ? ?0? temn temp ? ?1? ??? timn ??? tsmn ?1? ???? ???? ??????? ? timn ? ? ?? tsmn ?1? ?? ?? tmrmn ? cismn1 cismn0 ?? ? tmrmp tdrmn tdrmp ?? tommn tommp tolmn to lmp ?? ? tcr mn ? tcrmp ? ? tsrmn ? tsrmp ? ??? tom ? toem ? ?? ?? timn ? tdrmn ?????? mn tcrmn ??? tcrmn ?0000h? inttmmn ? timn ??? ?? inttmmn ? tdrmp ??? tcrmp ??? ?? inttmmn ? 1 ???? tomp ??? ? tcrmp ?0000h? ? tomp ????? ?? ?? ttmn ? ttmp ?? ?1? ? ttmn ttmp ?? ? ?0? temn temp ? ?0? ?? tcrmn ? tcrmp ???? ? tomp ??? ? toemp ?0? ? tomp ? tomp tomp ??? ta u ?? ? to mp ? ???????? tomp ?0? ? tomp ? ?? ???? tomp ?? per0 ? taumen ?0? ?? 0 ??????? ??? sfr ? tomp ? ?0? tomp ?????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 232 2014.10.31 6.9.2 ? pwm ? 2 ????????^ ?????? ? tdrmp ?? {tdrmn ??? +1} ???? 100% ? 100% ???????? m tsm ?? tsmn ?1? inttmmn ?????? mn tdrmn ?????? mn tcrmn ???? ?0000h? ? inttmmn ?? tdrmn ??? tcrmn ?????????? m ttm ?? ? ttmn ?1? ?? pwm ???? ?0000h? ???? pwm tomp ???? inttmmn ?? tdrmp ??? tcrmp ??? ?0000h? ?? ?0000h? ? inttmmp ??? ?? ? inttmmn pwm ???? ?0000h? ???? pwm tomp ??? ?? inttmmn ?? 1 ?? pwm tomp ??? ? tcrmp ??? ?0000h? ??? ? ???????? mn tdrmn ?? tdrmp ??? 2 ?? ? inttmmn ? tdrmn ? tdrmp ??? tcrmn ? tcrmp ? ?? inttmmn ??? tomp ???????? ? tdrmn ?? tdrmp ??? inttmmn 2 ? ? m ? m=0 n ? n=0 2 p ? n=0 p=1 3 n=2 p=3 = {tdrmn ??? + 1} ? ?? [%] = {tdrmp ?? } / {tdrmn ??? + 1} 100 0% tdrmp ?? = 0000h 100% tdrmp ?? {tdrmn ??? + 1}
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 233 2014.10.31 ? 6-69 ? pwm ?? ? m ? m=0 n ? n=0 2 p ? n=0 p=1 3 n=2 p=3 ? ? (inttmmn) ? {
+ c? e> js ckm0 ckm1 tsmn ? ? (inttmmp) ? {
+ c? e> js ckm0 ckm1 tomp6? eg? {
+ c? {e?f' (klhn <?? ) 2e?f' ( ) ?aud?? ) n R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 234 2014.10.31 ? 6-70 ? pwm ?? ? 1. m ? m=0 n ? n=0 2 p ? n=0 p=1 3 n=2 p=3 2. tsmn tsmp ???? m tsm bit n p temn temp ????? m tem bit n p tcrmn tcrmp ?? mn mp tcrmn tcrmp tdrmn tdrmp ??? mn mp tdrmn tdrmp tomn tomp tomn ? tomp ?? tsmn temn tdrmn tcrmn tomn inttmmn a b 0000h tsmp temp tdrmp tcrmp tomp inttmmp c c d 0000h c d {e?f' 2e?f' a+1 a+1 b+1 ffffh ffffh
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 235 2014.10.31 ? 6-71 pwm ? ???? ? m ? m=0 n ? n=0 2 (a) ???? mn tmrmn 1514131211109876543210 tmrmn cksmn1 1/0 cksmn0 00 ccsmn 0 mas termn 1 stsmn2 0 stsmn1 0 stsmn0 0 cismn1 0 cismn0 000 mdmn3 0 mdmn2 0 mdmn1 0 mdmn0 1 ? n ?? 000b ? ??? 1 ??? inttmmn timn ?? 00b ?? ?00b? ?? 000b ??? mastermn ? ? 2 1 ? ??? 0 ?? f mck ? f mck ? 00b ? ckm0 ?? n ?? 10b ? ckm1 ?? n ?? (b) ?? m tom bit n tom tomn 0 0 tomn ?0? (c) ?? m toem bit n toem toemn 0 0 ???? tomn (d) ??? m tolm bit n tolm tolmn 0 0 tommn=0 ???? ?0? (e) ???? m tomm bit n tomm tommn 0 0 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 236 2014.10.31 ? 6-72 pwm ? ???? ? m ? m=0 n ? n=0 2 p ? n=0 p=1 3 n=2 p=3 (a) ???? mp tmrmp 1514131211109876543210 tmrmp cksmp1 1/0 cksmp0 00 ccsmp 0 splitmp 0 stsmp2 1 stsmp1 0 stsmp0 0 cismp1 0 cismp0 000 mdmp3 1 mdmp2 0 mdmp1 0 mdmp0 1 ? p ?? 100b ?? ?? 1 timp ?? 00b ?? ?00b? ?? 100b ?? inttmmn splitmp ? ? 1 3 0 16 ? ??? 0 ?? f mck ? f mck ? 00b ? ckm0 ?? p ?? 10b ? ckm1 ?? p ?? ??? (b) ?? m tom bit p tom tomp 1/0 0 tomp ?0? 1 tomp ?1? (c) ?? m toem bit p toem toemp 1/0 0 ???? tomp 1 ?? tomp (d) ??? m tolm bit p tolm tolmp 1/0 0 ? ?? 1 ? ?? (e) ???? m tomm bit p tomm tommp 1 1 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 237 2014.10.31 ? 6-73 pwm ?? (1/2) ??? tau ? ? ?? 0 ??????? ?????? ? 0 per0 taumen ?1? ?? 0 ?????? ???? ????? ????? m tpsm ? ckm0 ckm1 ??? ? ?? ??? 2 ?????? mn mp tmrmn tmrmp ???? ????? mn tdrmn ???? tdrmp ????? ????? ????? ?? ???? m tomm tommp ?1? ??? ? tolmp ? tomp ? tomp ??? toemp ?1? tomp ???????? ?0? tomp ? hi-z ?? ???????????? ?0? ? tomp ???? ?????? tomp ? tomp tomp ???
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 238 2014.10.31 ? 6-73 pwm ?? (2/2) ? m ? m=0 n ? n=0 2 p ? n=0 p=1 3 n=2 p=3 ??? ? ? toemp ?1? ??? ???? m tsm tsmn ? tsmp ?? ?1? ? tsmn tsmp ?? ? ?0? temn temp ? ?1? ??? inttmmn ?? ??? ? tmrmn ? tmrmp ?? tommn tommp tolmn tolmp ?? ? inttmmn tdrmn ? tdrmp ??? ? tcrmn ? tcrmp ? ? tsrmn ? tsrmp ? ? tdrmn ????? mn tcrmn ?? tcrmn ?0000h? ? inttmmn ?? tdrmn ??? tcrmn ? ??? ?? inttmmn ? tdrmp ??? tcrmp ?? ??? inttmmn ? 1 ???? tomp ??? ? tcrmp ?0000h? ? tomp ????? ?? ?? ttmn ? ttmp ?? ?1? ? ttmn ttmp ?? ? ?0? temn temp ? ?0? ?? tcrmn ? tcrmp ???? ? tomp ??? ? toemp ?0? ? tomp ? tomp tomp ??? tau ?? ? tomp ? ???????? tomp ?0? ? tomp ? ?? ???? tomp ?? per0 ? taumen ?0? ?? 0 ??????? ??? sf r ? tomp ? ?0? tomp ?????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 239 2014.10.31 6.9.3 ? pwm ? ?? pwm ????????? pwm ?? ?? 2 ???????? ? tdrmp 1 ?? {tdrmn ??? +1} {tdrmq 2 ?? } {tdrmn ??? +1} ???? 100% ? 100% ???????? mn tcrmn ?? ???? 1 tcrmp ?????? tomp pwm ? inttmmn ????? mp tdrmp ?? tcrmp ? ??? tcrmp ? ?0000h? inttmmp ??? ? inttmmn ????? inttmmn ? 1 ?? tomp ?? ? tcrmp ? ?0000h? ??? ?? 1 tcrmp ?????? 2 tcrmq ???? ? tomq pwm ? inttmmn ?? tdrmq ??? tcrmq ???? tcrmq ? ?0000h? inttmmq ?? ? inttmmn ????? inttmmn ? 1 ?? tomq ??? tcrmq ? ?0000h? ??? ??? 0 ???? 3 pwm ?? ? ???????? mn tdrmn ?? 1 tdrmp ??? 2 ??? inttmmn ? tdrmn ? tdrmp ??? tcrmn ? tcrmp ?? inttmmn ??? tomp ????? ???? tdrmn ?? tdrmp ??? inttmmn 2 ? ???? 2 tdrmq ? ? m ? m=0 n ? n=0 p ? p=1 q ? q=3 = {tdrmn ??? + 1} ? ?? 1 [%] = {tdrmp 1 ?? } / {tdrmn ??? + 1} 100 ?? 2 [%] = {tdrmq 2 ?? } / {tdrmn ??? + 1} 100
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 240 2014.10.31 ? 6-74 ? pwm ?? 2 pwm ? m ? m=0 n ? n=0 p ? p=1 q ? q=3 ? ? (in ttm mn ) ? {
+ c? e> js ck m0 ck m1 ts mn ? ? (in ttm mp ) ? {
+ c? e> js ck m0 ck m1 to mp 6? eg? {
+ c? {e?f' (klhn <?? ) 2e?f' 1 ( ) ?aud?? ) ? ? (in ttm mq ) ? {
+ c? e> js ck m0 ck m1 to mq 6? eg? {
+ c? 2e?f'2 ( ) ?aud?? ) n R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 241 2014.10.31 ? 6-75 ? pwm ?? 2 pwm tsmn temn tdrmn tcrmn tomn inttmmn a b 0000h tsmp temp tdrmp tcrmp tomp inttmmp c c d 0000h c d {e?f' 2e?f'1 a+1 a+1 b+1 ffffh ffffh tsmq temq tdrmq tcrmq tomq inttmmq e f 0000h e f 2e?f'2 a+1 a+1 b+1 ffffh e f d
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 242 2014.10.31 ? 1. m ? m=0 n ? n=0 p ? p=1 q ? q=3 2. tsmn tsmp tsmq ???? m tsm bit n p q temn temp temq ????? m tem bit n p q tcrmn tcrmp tcrmq ?? mn mp mq tcrmn tcrmp tcrmq tdrmn tdrmp tdrmq ??? mn mp mq tdrmn tdrmp tdrmq tomn tomp tomq tomn tomp tomq ??
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 243 2014.10.31 ? 6-76 pwm ? ???? ? tmrm2 mastermn=1 tmrm0 ?? ?0? ? m ? m=0 n ? n=0 (a) ???? mn tmrmn 1514131211109876543210 tmrmn cksmn1 1/0 cksmn0 00 ccsmn 00 stsmn2 0 stsmn1 0 stsmn0 0 cismn1 0 cismn0 000 mdmn3 0 mdmn2 0 mdmn1 0 mdmn0 1 ? n ?? 000b ? ??? 1 ??? inttmmn timn ?? 00b ?? ?00b? ?? 000b ??? ??? 0 ?? f mck ? f mck ? 00b ? ckm0 ?? n ?? 10b ? ckm1 ?? n ?? (b) ?? m tom bit n tom tomn 0 0 tomn ?0? (c) ?? m toem bit n toem toemn 0 0 ???? tomn (d) ??? m tolm bit n tolm tolmn 0 0 tommn=0 ???? ?0? (e) ???? m tomm bit n tomm tommn 0 0 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 244 2014.10.31 ? 6-77 pwm ? ???? 2 pwm ? m ? m=0 n ? n=0 p ? p=1 q ? q=3 (a) ???? mp mq tmrmp tmrmq 1514131211109876543210 tmrmp cksmp1 1/0 cksmp0 00 ccsmp 0 splitmp 0 stsmp2 1 stsmp1 0 stsmp0 0 cismp1 0 cismp0 000 mdmp3 1 mdmp2 0 mdmp1 0 mdmp0 1 1514131211109876543210 tmrmq cksmq1 1/0 cksmq0 00 ccsmq 0 splitmq 0 stsmq2 1 stsmq1 0 stsmq0 0 cismq1 0 cismq0 000 mdmq3 1 mdmq2 0 mdmq1 0 mdmq0 1 ? p q ?? 100b ?? ?? 1 timp timq ?? 00b ?? ?00b? ?? 100b ?? inttmmn split0p split0q ? 0 16 ? ??? 0 ?? f mck ? f mck ? 00b ? ckm0 ?? p q ?? 10b ? ckm1 ?? p q ?? ??? (b) ?? m tom bit q bit p tom tomq 1/0 tomp 1/0 0 tomp tomq ?0? 1 tomp tomq ?1? (c) ?? m toem bit q bit p toem toemq 1/0 toemp 1/0 0 ???? tomp tomq 1 ?? tomp tomq (d) ??? m tolm bit q bit p tolm tolmq 1/0 tolmp 1/0 0 ? ?? 1 ? ?? (e) ???? m tomm bit q bit p tomm tommq 1 tommp 1 1 ????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 245 2014.10.31 ? 6-78 pwm ?? 2 pwm (1/2) ??? tau ? ? ?? 0 ??????? ?????? ? 0 per0 taumen ?1? ?? 0 ?????? ???? ????? ????? m tpsm ? ckm0 ckm1 ??? ? ?? ?????????? mn mp mq tmrmn tmrmp tmrmq ?? ?? ????? mn tdrmn ???? tdrmp tdrmq ?????? ????? ????? ?? ???? m tomm tommp tommq ?1? ??? tolmp tolmq ?0? ? tomp tomq ? tomp tomq ??? toemp toemq ?1? tomp to m q ???????? ?0? tomp ? tomq ? hi-z ?? ???????????? ?0? ? tomp tomq ???? ?????? tomp tomq ? tomp ? tomq tomp tomq ? ??
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 246 2014.10.31 ? 6-78 pwm ?? 2 pwm (2/2) ? m ? m=0 n ? n=0 p ? p=1 q ? q=3 ??? ? ? ???? toemp toemq ?1? ???? m tsm tsmn ? tsmp tsmq ?? ?1? ? tsmn tsmp tsmq ? ?? ?0? temn temp temq ? ?1? ??? inttmmn ?? ??? ? tmrmn tmrmp tmrmq ?? tommn tommp tommq tolmn tolmp tolmq ?? ? inttmmn tdrmn tdrmp tdrmq ??? ? tcrmn tcrmp tcrmq ? ? tsrmn tsr m p tsrmq ? ? tdrmn ????? mn tcrmn ?? tcrmn ?0000h? ? inttmmn ?? tdrmn ??? tcrmn ? ??? ? 1 ? inttmmn ?? tdrmp ??? tcrmp ? ????? inttmmn ? 1 ???? tomp ???? ?0000h? ? tomp ????? ? 2 ? inttmmn ?? tdrmq ??? tcrmq ? ????? inttmmn ? 1 ???? tomq ???? ?0000h? ? tomq ????? ?? ?? ttmn ? ttmp ttmq ?? ?1? ? ttmn ttmp ttmq ? ?? ?0? temn tem p te mq ? ?0? ?? tcrmn tcrmp tcrmq ??? ?? tomp tomq ??? ? toemp toemq ?0? ? tomp tomq ?? tomp ? tomq tomp tomq ? ?? tau ?? ? tomp ? tomq ?? ???????? tomp tomq ?0? ? tomp ? tomq ?? ?? ???? tomp ? tomq ? ? per0 ? taumen ?0? ?? 0 ??????? ??? sfr ? tomp tomq ? ?0? tomp ? tomq ?????
R7F0C01592esn r7f0c01692esn 6 ?? r01uh0453cj0210 rev.2.10 247 2014.10.31 6.10 ?????? 6.10.1 ????? ??????????? ????? (1) ?? p31 to03 ??? pclbuz0 ?0? ????? pm31 ???? p31 ?0? ????? 0 cks0 bit7 ?0? ???
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 248 2014.10.31 7 ? rj 7.1 ? ? rj ???????? 16 ? 16 ???????????????? trj0 ??????? ? rj ???? 7-1 ? 7-1 ? 7-1 ? rj ? ? ?? ??? ?? ?? ??????^ ??? ?? ? stop ?? ?? ?? ??? ?? ? ?? ? f clk f clk /2 f clk /8 f il ?? elc ? ? ? ? ??? trjio0 ?? ? ???? trjio0 ?? ? ? ?? elc ? elc ???
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 249 2014.10.31 7.2 ? rj ?? ? rj ????? ? 7-1 7-2 ? ? 7-1 ? rj ?? ? 1. ?? f il ????????? osmc wutmmck0 ?1? 2. ? trjisr0 ? rccpsel2 ?? 7-2 ? rj ?? ? ? pior1 ? pior12 pior13 ? trjo0 ??? pior1 ? pior10 pior11 ? trjio0 ?? ? 4 ?? ? / intp4 ? rj ? trjio0 ? / ? rj ?? trjo0 ? ? rj = 01b = 10b = 11b = 00b = 01b = 10b = 000b = 001b = 011b tstart ? tstop ? trjcr0 ?, <,x! tedgsel ? toena ? tipf0 ? tipf1 ? tiogt0 ? tiogt1 ? trjioc0 ?, <,x! tmod0  tmod2 ? tedgpl ? tck0 tck2 ? trjmr0 ?, <,x! rccpsel0 ? rccpsel1 ? trjisr0 ?, <,x! f clk f clk /8 f clk /2 tck2  tck0 trjio0 6? trjo0 6? tipf1 ? tipf0 f clk f clk /8 f clk /32 tipf1 ? tipf0 = 01b 5 10b q q ck clr tedgsel = 1 tedgsel = 0 tmod2  tmod0 = 001b tmod2  tmod0 = 011b 5 100b = 010b tmod2  tmod0 = 010b 1 rccpsel1 ? rccpsel0 trdiod1 = 00b = 01b = 11b trdioc1 to03 tedgpl tedgsel tiogt1 ? tiogt0 "?2 "?2 16 !aud < trj0 aud < tstart = 100b = 101b f il "?1 toena = 00b db4? ?$v ? aud < {
+ c? #g43 ? n < rj0 ? m trjmr0 ?, <? 4- tstop m ?1?? 16 ! g?teq ?, < ?0?o < u?ey? )e?"? ?e?"??6 d+ $?"? < _jy{
< (elc) eg9,x_  _aud intp4 ?nu?k,x_aud n R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 250 2014.10.31 7.3 ?? ? rj ??? 7-3 ? 7-3 ? rj ??? ? ? trj0 ?? cpu ??? cpu ????????? ?????? trj0 ?????? 1 ?? ? ? ? ? i/o ?? 1 pior1 00h f0079h 8 ? 1 per1 00h f007ah 8 ????? osmc 00h f00f3h 8 ? rj ? 0 ? trj0 ffffh f0500h 16 ? rj ?? 0 trjcr0 00h f0240h 8 ? rj i/o ?? 0 trjioc0 00h f0241h 8 ? rj ??? 0 trjmr0 00h f0242h 8 ? rj ??? 0 trjisr0 00h f0243h 8 ??? 0 p0 00h fff00h 8 ??? 3 p3 00h fff03h 8 ??? 4 p4 00h fff04h 8 ??? 5 p5 00h fff05h 8 ???? 0 pm0 ffh fff20h 8 ???? 3 pm3 ffh fff23h 8 ???? 4 pm4 ffh fff24h 8 ???? 5 pm5 ffh fff25h 8
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 251 2014.10.31 7.3.1 ? 1 per1 per1 ??????????????????? ?? ???? rj ?? bit0 trj0en ?1? ? 1 8 ??? per1 ? ????????? ?00h? ? 7-2 ? 1 per1 ?? ? 1. ??? rj ?? trj0en ?1? trj0en ? ?0? ??? rj ??? ?????????? 0 3 4 5 pm0 pm3 pm4 pm5 ???? 0 3 4 5 p0 p3 p4 p5 ? 2. ?? ?0? bit1 3 ? f007ah 00h r/w per1 tmkaen pwmopen oacmpen trd0en 0 0 0 trj0en trj0en ?? rj0 ??? 0 ????? ? ? rj0 ?? sfr ? ? rj0 ??? 1 ??? ? ?? rj0 ?? sfr 7 6 5 4 3210
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 252 2014.10.31 7.3.2 ????? osmc ? osmc ????? 12 ???? rj ?? ? 8 ??? osmc ? ????????? ?00h? ? 7-3 ????? osmc ?? ? 1. ? 12 ??? wutmmck0 ?1? 2. 12 ?? wutmmck0 ?0? ? ? bit0 3 bit5 7 ?0? ? f00f3h 00h r/w 76543210 osmc 0 0 0 wutmmck0 ? 1 2 0000 wutmmck0 ? 1 2 ???? 12 ????? rj ??? 0 ? ??? 12 ??? ? ???? rj ?? 1 ? ??? 12 ??? ? ???? rj ??
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 253 2014.10.31 7.3.3 ? rj ? 0 trj0 16 ??????????? ?????? trjcr0 ? tstart ??? ? 7.4.1 ?? ?? ? ? 16 ??? trj0 ? ??? trj0 ??? ?ffffh? ? 7-4 ? rj ? 0 trj0 ?? ? 1. trjcr0 ? tstop ? ?1? ??? 16 ???? ?ffffh? 2. trjmr0 ? tck2 tck0 ??? ?001b? f clk /8 ?011b? f clk /2 trj0 ? ?? ?0000h? ??? elc 1 ??? trjo0 trjio0 ??? tck2 tck0 ??? trj0 ??? ?0000h? ??? elc 1 ??????? trjo0 ? trj0 ??? ?0001h? trj ???? ? ? trj0 ?? cpu ??? cpu ????????? ?????? trj0 ?????? 1 ?? ? f0500h ffffh r/w 1514131211109876543210 trj0 ? ? bit15 016 ??? ? 1 2 0000h ffffh
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 254 2014.10.31 7.3.4 ? rj ?? 0 trjcr0 trjcr0 ???? rj ?????? rj ???? ? 8 ??? trjcr0 ? ??? trjcr0 ??? ?00h? ? 7-5 ? rj ?? 0 trjcr0 ?? ? 1. tstop ? ?1? ??? tstart tcstf ?????? 2. ? tstart tcstf ??? ?7.5.1 ???? ? ? f0240h 00h r/w trjcr0 0 0 tundf tedgf 0 tstop tcstf tstart tundf ? rj ? ? 1 0 ?? 1 [ ? ?0? ] ? ?? ?0? ? [ ? ?1? ] ? ? tedgf ??? ? 1 0 ?? 1 ? [ ? ?0? ] ? ?? ?0? ? [ ? ?1? ] ? ??? trjio ?? ? ???? trjio ?? tstop ? rj ???? ? 2 ? ?1? ?????? ?0? tcstf ? rj ???? ? 2 0 ?? 1 ? [ ? ?0? ] ? tstart ? ?0? ? ??? ?0? ? tstop ? ?1? ? [ ? ?1? ] ? tstart ? ?1? ? ??? ?1? tstart ? rj ?? ? 2 0 ?? 1 ? ? tstart ? ?1? ?? tstart ? ?0? ?? tstart ?1? ? tcstf ??? ?1? ??? tstart ? ?0? tcstf ??? ?0? ??? ?7.5.1 ???? ? 76543210
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 255 2014.10.31 7.3.5 ? rj i/o ?? 0 trjioc0 trjioc0 ??? rj / ?? ? 1 8 ??? trjioc0 ? ??? trjioc0 ??? ?00h? ? 7-6 ? rj i/o ?? 0 trjioc0 ?? ? 1. ? intp4 ????? trjisr0 ? rccpsel2 ???? 2. tiogt0 tiogt1 ???? ? f0241h 00h r/w trjioc0 tiogt1 tiogt0 tipf1 tipf0 0 toena 0 tedgsel tiogt1 tiogt0 trjio ? ? 1 2 0 0 ?? 01 intp4 ???? 1 0 ??????? 11 ? tipf1 tipf0 trjio ?? 0 0 ?? 01 ?? f clk 1 0 ?? f clk /8 11 ?? f clk /32 ? trjio ???? trjio0 ?? 3 ? ???? toena trjo 0 ? trjo ?? 1 trjo tedgsel / ? ??? ? 7-4 ? 7-5 76543210
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 256 2014.10.31 7-4 trjio / ??? 7-5 trjo ? ?? ??? ? / ?? ?? 0 ?h? ?? ?? ?h? 1 ?l? ?? ?? ?l? ??? 0 ? 1 ?? ?? 0 ?l? ? 1 ?h? ? ??? 0 ???? 1 ?????? ?? ??? 0 ?l? ?? ?? ?l? 1 ?h? ?? ?? ?h?
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 257 2014.10.31 7.3.6 ? rj ?? 0 trjmr0 trjmr0 ??? rj ???? ? 1 8 ??? trjmr0 ? ??? trjmr0 ??? ?00h? ? 7-7 ? rj ?? 0 trjmr0 ?? ? 1. ?????? trjio ?? tck0 tck2 ??? 2. ????? trjcr0 ? tstart tcstf ? ?0? ??? 3. ??? trjcr0 ? tstart tcstf ? ?0? ?????? ??? 4. ?? f il ????????? osmc wutmmck0 ?1? 5. tedgpl ???? ? ? trjmr0 ??? rj trjo0 ? trjio0 ?? ???? ? ? 7-6 ? rj i/o ?? 0 trjioc0 ?? ? ? ? f0242h 00h r/w trjmr0 0 tck2 tck1 tck0 tedgpl tmod2 tmod1 tmod0 tck2 tck1 tck0 ? rj ??? ? 1 2 000f clk 001f clk /8 011f clk /2 100 f il ? 4 101 elc ? ?? tedgpl trjio ??? ? 5 0 1 ? tmod2 tmod1 tmod0 ? rj ??? ? 3 000 ??? 001 ?? 010 ??? 011 ?? 100 ??? ?? 76543210
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 258 2014.10.31 7.3.7 ? rj ??? 0 trjisr0 trjisr0 ?????????????? ? 1 8 ??? trjisr0 ? ??? trjisr0 ??? ?00h? ? 7-8 ? rj ??? 0 trjisr0 ?? ? rccpsel0 2 ???? ? f0243h 00h r/w t r j i s r 000000 rccpsel2 ? rccpsel1 ? rccpsel0 ? rccpsel2 ? ??? intp4 ?? 0 ?l? ??? 1 ?h? ??? rccpsel1 ? rccpsel0 ? ???? 0 0 trdiod1 01t r d i o c 1 1 0 ?? 11t o 0 3 76543210
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 259 2014.10.31 7.3.8 ???? 0 3 4 5 pm0 pm3 pm4 pm5 1 ??? 0 3 4 5 / ?? ?????? p01/trjio0 p30/trjo0 ??????? ???? pmxx ???? pxx ?0? p01/trjio0 ? ???? 0 pm01 ?0? ??? 0 p01 ?0? ??????? p01/trjio0 ???????????? pmxx ?1? ???? pxx ?0? ?1? p01/trjio0 ? ???? 0 pm01 ?1? ??? 0 p01 ?0? ?1? ? 1 8 ??? pm0 pm3 pm4 pm5 ? ?????? ?ffh? ? 7-9 ???? 0 3 4 5 pm0 pm3 pm 4 pm5 ?? ? fff20h ffh r/w p m 0111111p m 0 1p m 0 0 ? fff23h ffh r/w p m 3111111p m 3 1p m 3 0 ? fff24h ffh r/w p m 4111111p m 4 1p m 4 0 ? fff25h ffh r/w p m 5111111p m 5 1p m 5 0 pmmn pmn ? / ??? m=0 3 4 5 n=0 1 0 ?? on 1 ?? off 76543210
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 260 2014.10.31 7.4 ? 7.4.1 ????? ?????????? trjcr0 ? tstart ?? tstart ? ?0? ???????? tstart ? ?1? ??? ??????? tstart ???? ? 7-10 ? ? 7-10 tstart ???? e?e?/?c4-trj0?, <m?5678h? ? ffffh 1234h 5678h ffffh 1234h 5678h 5678h ffffh 1232h 5677h 5676h 5675h 5674h 5673h 5672h 5671h 5670h 566fh 1234h 1233h 1231h 1230h e?e?/?c4-trjcr0?, <,xtstart!m?1?? e?e?/?c4-trj0?, <m?1234h? ? ?, <,xmjs g?teq?, <,x teq ? aud <,xteq ? aud <,xteqjs n R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 261 2014.10.31 7.4.2 ??? ? trjmr0 ? tck0 tck2 ?????? ????????? 1 ?? ?0000h? ?? ? ??? ? 7-11 ? ? 7-11 ??? 02fah 02f9h 02f8h 02f7h 1010h 100fh 100eh ????? ????? 0000h 1010h 100fh 100eh 100dh 100ch 100bh ?,x (0300h) ?,x (1010h) e?e?/?c5b?0?? n R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 262 2014.10.31 7.4.3 ?? ???? trjmr0 ? tck0 tck2 ?????? trjio ? trjo ?? ??????? 1 ?? ?0000h? ?? ?? ? trjio0 ? trjo0 ?????? trjioc0 ? toena ?? trjo0 ? ?? trjioc0 ? tedgsel ?? ?? ? 7-12 ? ? 7-12 ?? 0002h ffffh 0004h 0 0002h ffffh 0004h 0001h 0000h 0002h 0001h 0000h 0002h 0001h 0000h 0002h 0001h 0004h 0003h 0002h 0001h 0000h 0004h 0003h 0002h ffffh e?e?/?c4-trj0 ?, <m?0002h?? e?e?/?c4-trj0 ?, <m?0004h?? e?e?/?c4-trjcr0?, <,x tstart!m?1?? 4-trjio0s6?*0? h,x 0? ???, < (pmxx) ,x!m?1?? p?lk(?? ("?) "? e?e?>ey? trjio0s6?,x0? eg?a?{
 ?p?lk(??? g?teq?, < trjcr0?, <,x tstart! trjo06?eg? trjio06?eg? trj0?, < aud$d n R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 263 2014.10.31 7.4.4 ??? ? trjio0 ??? ???? ? trjioc0 ? tiogt0 tiogt1 trjisr0 ????? ? trjioc0 ? tipf0 tipf1 ? trjio0 ?? ???? trjo0 ?? ?????? ? 7.5.5 trjo0 ? trjio0 ?? ? ??? 1 ? 7-13 ? ? 7-13 ??? 1 ????? trjioc0 ? tlogt1 tlogt0 ? ?01b? ?10b? ? 7-14 ? ? 7-14 ??? 2 010b 00h ffffh fffeh fffdh 0000h ffffh fffeh e?e?/?c5b?0?? n ! intc?, <,x if! _eg943? ??_eg9? e9_aud <??? y ??a?"? a?naud <,x??? trjio06?,x _eg9 _e> aud t "?e> aud? ffffh fffeh fffch e> ??a?n1???,xc_$ trjmr0 ?, <? tmod2?1?0 = 010b(_aud <??) trjioc0 ?, <? tiogt1?0 = 01b(f??6??nk,x_aud) tipf1?0 = 00b("uy$?"? <) tedgsel = 0( t "?e> aud) trjisr0 ?, <? rccpsel2 = 1(?h?ke> aud) "?2 "?1 fffdh fffbh fffah fff9h fff8h n !9{
 ?6? ??aude> ! *2taud$djs,xe3? 2. ??aud a,x2taud$djs ?6? b!? ?aud0!6!,x(??e> aud? ?us??aud a,x2taud$djs ??no4-trjcr0 ?, <,xtstop!m?1? yf?+ c?e> ??j e> a?n a??aud? 3. btrjsr0?, <,xrccpsel1!
`rccpsel0!ey?,xn ??a?n trjioc0?, <,xtiogt1!
`tiogt0!?01b?5?10b?,x???
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 264 2014.10.31 7.4.5 ?? ? trjio0 ???? ?? trjio0 trjioc0 ? tedgsel ????? ??? trjio0 ???? trjcr0 ? tedgf ? ?1? ?????????? ? trjcr0 ? tundf ?? ?1? ? ?? ? 7-15 ? ?? trjcr0 ? tedgf tundf ? ? 7.5.2 ??? trjcr0 ? tedgf tundf ? ? 7-15 ?? ffffh n 0000h n = trj0 ?, <,xy? e?e?/?c5b?0?? e?e?/?c5b?0?? e?e?/?c5b?0?? e?e?/?c5b?1? ? *?$v? ??#g? ??#g? ??#g? k 0!6#g? 0!6#g? y ??a?"? aud <,xy?(16e
) trjcr0?, <,x tundf! trjcr0?, <,x tedgf ! trjcr0?, <,x tstart! intc?, <,x if! #g6y? trjio06?,xeg9 e-#g6y?,x?h?+ ge> #g,x?? (trjioc0?, <,xtedgsel = 1) ?
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 265 2014.10.31 7.4.6 ??? ? trjio0 ????? ? trjmr0 ? tck0 tck2 ???? trjio0 trjioc0 ? tedgsel ???????? ???????? trjcr0 ? tedgf ? ?1? ?? ??? trj0 ????????? ??? trjcr0 ? tundf ?? ?1? ? ??? ? 7-16 ? ?? 2 ? ?l? ? ?h? ?????? ^?????? ? 7-16 ??? ("?3) ( "?3) 0300h 02feh 02f9h 02f8h 02f7h 0300h ???? 0001h 0000h 0300h 02ffh 02ffh 02feh 0300h 02ffh 02feh 02fdh 02fch 02fbh 02fah 02ffh ("? 2) ( "? 2) 0300h 02ffh 02fah 02f9h 02f8h ???? 0001h 0000h 0300h 02ffh 02feh 02fbh 02f7h ???? ???? 02feh 02f7h a?4?? <,xy? trjcr0?, <,x tstart! aud <,xa? ? a? a,xdb #g6y?,xeg9 aud$d n ?a?trj0?, <!6  !8vp eg9ye?"?!a?trj0?, <?1!
<,x#g4p?  2. vp 6y?
<#g??a? trj0?, <a? aa?4?? <,xy??  3. vp eg9#g6y?,xye?"? aeg9f?6y?,xa?ne?"? trjcr0?, <,xtedgf! ??1?( yye?"? ) ? 4. ?ue?e?/?c5b?0??noe?e?8 !,| <?0??4-trjcr0?, <,xtedgf!m?0? ?  5. ?ue?e?/?c5b ?0? ?noe?e? 8!,| <?0??4-trjcr0?, <,xtundf!m?0? ? e- trj0 ?, <,x???0300h? jtrjioc0 ?, <,x tedgsel !5b?0? 1 ? #g6y?t !e> #g,x???
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 266 2014.10.31 7.4.7 ?? elc ? elc elc ???? ? trjmr0 ? tck0 tck2 elc ?????? elc ? elc ?? ? ?? (1) ? elc ???? elselrn (2) ????? (3) ?? rj ?? (4) ?? rj ? (5) ??? ? ??? (1) ???? (2) ??? rj ? (3) elc ???? elselrn ?0? 7.4.8 ??? ?? trjo0 ? trjio0 ??? 7-6 7-7 ? 7-6 trjo0 ?? 7-7 trjio0 ?? ? ? trjio0 ?????????? pmxx ?? trjioc0 ? trjo0 ? toena tedgsel ??? 11 0 0 0 1 ? ?? trjioc0 ? trjio0 ? / pmxx ? tedgsel ??? 0 10 1 ?? ?? 1 0 1 ? hi-z 01 0 ??? 1 0 1 ?? ???
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 267 2014.10.31 7.5 ??? rj ?? 7.5.1 ???? ? ??????? elc ??? trjcr0 ? tstart ? ?1? ? 3 ? trjcr0 ? tcstf ? ?0? ?? tcstf ? tcstf ? ?1? ??? rj ?? ? ? tstart ? ?0? ?? 3 ? tcstf ? ?1? tcstf ? ?0? ??? tcstf ? tcstf ? ?0? ??? rj ?? ? ? tstart ?0? ? ?1? ???? ? 16 ? ? ? ? rj ??trj0 trjcr0 trjioc0 trjmr0 trjisr0 ? ??????? elc ??? trjcr0 ? tstart ? ?1? ? 2 cpu ? trjcr0 ? tcstf ? ?0? ?? tcstf ? tcstf ? ?1? ??? rj ?? ? ? tstart ? ?0? ?? 2 cpu ? tcstf ? ?1? tcstf ? ?0? ??? tcstf ? tcstf ? ?0? ??? rj ? ? ? tstart ?0? ? ?1? ???? ? 16 ? ? ? ? rj ??trj0 trjcr0 trjioc0 trjmr0 trjisr0 7.5.2 ??? trjcr0 ? tedgf tundf ? trjcr0 ? tedgf tundf ? ?0? ?? ?0? ?? ?1? ? ?? trjcr0 ??? - ? - ?????? tedgf ? ?1? ? tundf ? ?1? ?? tedgf tundf ?0? ? 8 ??? trjcr0 ? 7.5.3 ??? trjcr0 ? tstart tcstf ? ?1? ? trj0 ?? ???? 3 ??? 7.5.4 ??? ??? trjcr0 ? tstart tcstf ? ?0? ?????? rj ???? trjioc0 trjmr0 trjisr0 ??? ?? rj ????? tedgf tundf ???? tedgf ? ?0? ??? tundf ? ?0? ???
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 268 2014.10.31 7.5.5 trjo0 ? trjio0 ?? ? trjo0 ? trjio0 ?? i/o ???? ? trjo0 ? trjio0 ??2? ? (1) ??? (2) ??? (3) trjo0 ? trjio0 ?????? ?0? (4) trjo0 ? trjio0 ??????????? trjo0 ? trjio0 ?? (5) ? trjcr0 ? tstart=1 ? trjio0 ??2? (1) ??? (2) ????? (3) trjio0 ??????????? trjio0 ??? (4) ? trjmr0 ? tstart=1 (5) ? trjcr0 ? tcstf ? ?1? ? ???? (6) trjio0 ?? (7) ???? ???? ?????? 7.5.6 ??? rj ??? rj ?? trjmr0 ? tmod2 tmod0 ?000b? ???? trjioc0 ? toena ?0? ? trjo 7.5.7 ? rj ???? ? per1 ? trj0en ?? rj ???????? rj ?? ??? sfr ?? rj ?????? trj0 ? trjcr0 ? trjmr0 ? trjioc0 ? trjisr0 ? 7.5.8 stop ?? ???? ? stop ????????? rj ??2?? stop ? ? ? (1) ??? (2) ? tstart=1 tcstf=1 (3) ???? rj ?? ? stop ?????????2??? (1) ?? rj ?? (2) ?? tstart=0 tcstf=0
R7F0C01592esn r7f0c01692esn 7 ? rj r01uh0453cj0210 rev.2.10 269 2014.10.31 7.5.9 stop ?? ????? ? stop ?????????? 7.5.10 ? tstop ????? ? trjcr0 ? tstop ???? 1 ??? sfr trj0 ? trjcr0 ? trjmr0 ? 7.5.11 ? ???? trjioc ? tipf1 tipf0 5 ?? ?? ?????? trjioc ? tedgsel ?? 5 ???? 7.5.12 ? f il ?? ?? f il ????????? osmc wutmmck0 ?1?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 270 2014.10.31 8 ? rd 8.1 ? rd ? ? rd 3 ?? ? ??? - ?? ?????? - ?? ?????? ??? -pwm ? 2 ??? pwm ? ? ? pwm ?? ???? 6 ?? ? pwm ?? ???? 6 ?? ????? rd0 ?? rd1 ???????? pwm ?? ???? rd0 ?? rd1 ?? ? pwm ??? pwm ???? rd0 ?? rd1 ??? ???? ? rd0 3 / ?? rd1 4 / ? ? rd ?? f clk f hoco
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 271 2014.10.31 8.2 ? rd ?? ? rd ????? ? 8-1 8-1 ? ? 8-1 ? rd ?? 8-1 ? rd ?? / trdiob0 p15 / ??????? trdioc0 p16 / trdiod0 p14 / trdioa1 p13 / trdiob1 p12 / trdioc1 p11 / trdiod1 p10 / trdi?, < db4? trdgrai?, < trdgrbi?, < trdgrci?, < trdgrdi?, < trdcri ?, < trdiorai?, < trdiorci?, < trdsri?, < trdieri?, < trdpocri?, < n + c? intp0 trdiob0 trdioc0 trdiod0 trdiob1 trdioc1 trdiod1 trdioa1 aud$d ey?+ c? f hoco ?f clk ?f clk /2?f clk /4?f clk /8?f clk /32 n R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 272 2014.10.31 8.3 ?? ? rd ??? 8-2 ? 8-2 ? rd ??? ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ?? rd sfr ????? f clk ?? f ih ? trd0en ?1? ?? ? ? ? ? ? 1 per1 00h f007ah 8 ? rd elc ? trdelc 00h f0260h 8 ? rd ? trdstr 0ch ? f0263h 8 ? rd ??? trdmr 00h ? f0264h 8 ? rd pwm ?? trdpmr 00h ? f0265h 8 ? rd ??? trdfcr 80h ? f0266h 8 ? rd ? 1 trdoer1 ffh ? f0267h 8 ? rd ? 2 trdoer2 00h ? f0268h 8 ? rd ?? trdocr 00h ? f0269h 8 ? rd ??? 0 trddf0 00h ? f026ah 8 ? rd ??? 1 trddf1 00h ? f026bh 8 ? rd ?? 0 trdcr0 00h ? f0270h 8 ? rd i/o ?? a0 trdiora0 00h ? f0271h 8 ? rd i/o ?? c0 trdiorc0 88h ? f0272h 8 ? rd ??? 0 trdsr0 00h ? f0273h 8 ? rd ? 0 trdier0 00h ? f0274h 8 ? rd pwm ??? 0 trdpocr0 00h ? f0275h 8 ? rd 0 trd0 0000h ? f0276h 16 ? rd ?? a0 trdgra0 ffffh ? f0278h 16 ? rd ?? b0 trdgrb0 ffffh ? f027ah 16 ? rd ?? c0 trdgrc0 ffffh ? fff58h 16 ? rd ?? d0 trdgrd0 ffffh ? fff5ah 16 ? rd ?? 1 trdcr1 00h ? f0280h 8 ? rd i/o ?? a1 trdiora1 00h ? f0281h 8 ? rd i/o ?? c1 trdiorc1 88h ? f0282h 8 ? rd ??? 1 trdsr1 00h ? f0283h 8 ? rd ? 1 trdier1 00h ? f0284h 8 ? rd pwm ??? 1 trdpocr1 00h ? f0285h 8 ? rd 1 trd1 0000h ? f0286h 16 ? rd ?? a1 trdgra1 ffffh ? f0288h 16 ? rd ?? b1 trdgrb1 ffffh ? f028ah 16 ? rd ?? c1 trdgrc1 ffffh ? fff5ch 16 ? rd ?? d1 trdgrd1 ffffh ? fff5eh 16 ??? 1 p1 00h fff01h 8 ???? 1 pm1 ffh fff21h 8
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 273 2014.10.31 8.3.1 ? 1 per1 per1 ??????????????????? ?? ???? rd ?? bit4 trd0en ?1? ? 1 8 ??? per1 ? ????????? ?00h? ? 8-2 ? 1 per1 ?? ? 1. ??? rd ?? trd0en ?1? trd0en ? ?0? ??? rd ??? ?????? ???? 1 pm1 ???? 1 p1 ? 2. ?? ?0? bit1 3 3. ?? f hoco ?? rd ????? 1 per1 bit4 trd0en ? f clk ?? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? ? f007ah 00h r/w per1 tmkaen pwmopen oacmpen trd0en 0 0 0 trj0en trd0en ?? rd ??? 0 ????? ? ? rd ?? sfr ? ? rd ??? 1 ??? ? ?? rd ?? sfr 7 6 5 4 3210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 274 2014.10.31 8.3.2 ? rd elc ? trdelc ? 8-3 ? rd elc ? trdelc ?? ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? f0260h 00h ? r/w trdelc 0 0 elcobe1 elcice1 0 0 elcobe0 elcice0 elcobe1 elc ? 1 ???? rd 0 ???? 1 ??? elcice1 elc ? 1 ?? rd ?? d1 ? 0 ??? d1 1 ??? elc ? 1 elcobe0 elc ? 0 ???? rd 0 ???? 1 ??? elcice0 elc ? 0 ?? rd ?? d0 ? 0 ??? d0 1 ??? elc ? 0 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 275 2014.10.31 8.3.3 ? rd ? trdstr ? 8 ??? trdstr ???? rd ?? ? 8.6.1(1) trdstr ? ? ? 8-4 ? rd ? trdstr ?? ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ????? ?1? 3. csel1 ? ?1? ? tstart1 ? ?0? 4. csel1 ? ?0? ???? trdioa1 ???? ?0? ?? 5. csel0 ? ?1? ? tstart0 ? ?0? ? f0263h 0ch ? 1 r/w trdstr 0 0 0 0 csel1 csel0 tstart1 tstart0 csel1 trd1 ? 0 ? trdgra1 ?????? 1 ? trdgra1 ????? ? 2 csel0 trd0 ? 0 ? trdgra0 ?????? 1 ? trdgra0 ????? ? 2 tstart1 trd1 ??? ? 3 4 0 ?? 1 ? tstart0 trd0 ??? ? 5 0 ?? 1 ? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 276 2014.10.31 8.3.4 ? rd ??? trdmr ? 8-5 ? rd ??? trdmr ?? ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ???????? trdbfc0 ?0? 3. ????? trdiorci ? i=0 1 ioj3 j=c d ? ?0? trdgrji ???? trdmr ? trdbfji ?0? 4. ? pwm ??? ?0? ?? 5. ?? pwm ??? pwm ??? ?0? trd0 trd1 ? f0264h 00h ? 1 r/w trdmr trdbfd1 trdbfc1 trdbfd0 trdbfc0 ? 2 000t r d s y n c trdbfd1 trdgrd1 ??? ? 3 0 ?? 1 trdgrb1 ??? trdbfc1 trdgrc1 ??? ? 3 0 ?? 1 trdgra1 ??? trdbfd0 trdgrd0 ??? ? 3 0 ?? 1 trdgrb0 ??? trdbfc0 ? 2 trdgrc0 ??? ? 3 4 0 ?? 1 trdgra0 ??? trdsync ? rd ? ? 5 0 trd0 trd1 1 trd0 trd1 ? 7 6 5 4 3210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 277 2014.10.31 8.3.5 ? rd pwm ?? trdpmr ? 8-6 ? rd pwm ?? trdpmr ?? [ ??? ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? f0265h 00h ? r/w trdpmr 0 trdpwmd1 trdpwmc1 trdpwmb1 0 trdpwmd0 trdpwmc0 trdpwmb0 trdpwmd1 trdiod1 pwm ? 0 ????? 1 pwm trdpwmc1 trdioc1 pwm ? 0 ????? 1 pwm trdpwmb1 trdiob1 pwm ? 0 ????? 1 pwm trdpwmd0 trdiod0 pwm ? 0 ????? 1 pwm trdpwmc0 trdioc0 pwm ? 0 ????? 1 pwm trdpwmb0 trdiob0 pwm ? 0 ????? 1 pwm 76 5 4 32 1 0
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 278 2014.10.31 8.3.6 ? rd ??? trdfcr ? 8-7 ? rd ??? trdfcr ?? ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. trdstr ? tstart0 tstart1 ? ?0? ??? cmd0 cmd1 3. cmd1 cmd0 ? ?01b? ?10b? ?11b? ? trdpmr ?????? pwm ?? ? pwm ?? ? f0266h 80h ? 1 r/w trdfcr 1 0 0 0 ols1 ols0 cmd1 cmd0 ols1 ?? ? pwm ??? pwm ?? ? ? pwm ?? pwm ?? 0 ? ?h? ? ?l? ? 1 ? ?l? ? ?h? ? ? ???? ols0 ?? ? pwm ??? pwm ?? ? ? pwm ?? pwm ?? 0 ? ?h? ? ?l? ? 1 ? ?l? ? ?h? ? ? ???? cmd1 cmd0 ??? ? 2 3 ? ???? ?00b? ??? ? ?? pwm ?? ?01b? ? pwm ?? ? pwm ?? cmd1 cmd0 1 0 pwm ?? trd1 ??????? 1 1 pwm ?? trd0 trdgra0 ???????? ?? ?? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 279 2014.10.31 8.3.7 ? rd ? 1 trdoer1 ? 8-8 ? rd ? 1 trdoer1 ?? [ ??? pwm ?? pwm ??? pwm ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ? pwm ?? ?1? ? f0267h ffh ? 1 r/w trdoer1 ed1 ec1 eb1 ea1 ed0 ec0 eb0 1 ed1 trdiod1 ?? 0 1 ? trdiod1 ? i/o ?? ec1 trdioc1 ?? 0 1 ? trdioc1 ? i/o ?? eb1 trdiob1 ?? 0 1 ? trdiob1 ? i/o ?? ea1 trdioa1 ?? ? 2 0 1 ? trdioa1 ? i/o ?? ed0 trdiod0 ?? 0 1 ? trdiod0 ? i/o ?? ec0 trdioc0 ?? 0 1 ? trdioc0 ? i/o ?? eb0 trdiob0 ?? 0 1 ? trdiob0 ? i/o ?? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 280 2014.10.31 8.3.8 ? rd ? 2 trdoer2 ? 8-9 ? rd ? 2 trdoer2 ?? [pwm ?? pwm ??? pwm ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ?8.4.4 ??? ? ? f0268h 00h ? 1 r/w trdoer2 trdpto 0 0 0 0 0 0 trdshuts trdpto ???? intp0 ? 2 0 ??? 1 ??? intp0 ?l? ? trdshuts ? ?1? trdshuts ???? 0 ??? 1 ??? ? intp0 elc ?????? ?1? ???????? ???? tstarti=0 ??? ?0? ??? trdshuts ? ?1? ????^ 7 6543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 281 2014.10.31 8.3.9 ? rd ?? trdocr trdstr ? tstart0 tstart1 ? ?0? ??? trdocr ? ? 8-10 ? rd ?? trdocr ?? [ ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. trdocr ???? trdocr ???? ? f0269h 00h ? 1 r/w trdocr tod1 toc1 tob1 toa1 tod0 toc0 tob0 0 tod1 trdiod1 ??? ? 2 0 ? ?l? ? 1 ? ?h? ? toc1 trdioc1 ??? ? 2 0 ? ?l? ? 1 ? ?h? ? tob1 trdiob1 ??? ? 2 0 ? ?l? ? 1 ? ?h? ? toa1 trdioa1 ??? 0 ? ?l? ? 1 ? ?h? ? tod0 trdiod0 ??? ? 2 0 ? ?l? ? 1 ? ?h? ? toc0 trdioc0 ??? ? 2 0 ? ?l? ? 1 ? ?h? ? tob0 trdiob0 ??? ? 2 0 ? ?l? ? 1 ? ?h? ? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 282 2014.10.31 ? 8-11 ? rd ?? trdocr ?? [pwm ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. trdocr ???? trdocr ???? ? f0269h 00h ? 1 r/w trdocr tod1 toc1 tob1 toa1 tod0 toc0 tob0 0 tod1 trdiod1 ??? ? 2 0 ??? 1 ??? toc1 trdioc1 ??? ? 2 0 ??? 1 ??? tob1 trdiob1 ??? ? 2 0 ??? 1 ??? toa1 trdioa1 ??? ?0? tod0 trdiod0 ??? ? 2 0 ??? 1 ??? toc0 trdioc0 ??? ? 2 0 ??? 1 ??? ?? pwm ??? pwm ?? tob0 trdiob0 ??? ? 2 0 ??? 1 ??? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 283 2014.10.31 8.3.10 ? rd ??? i trddfi i=0 1 ? 8-12 ? rd ??? i trddfi i=0 1 ?? [ ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ? dfck0 dfck1 ? 3. ???? 000c2h frqsel4 ? ?1? ? f clk /32 f clk /8 f clk ?? f hoco /32 f hoco /8 f hoco ? f026ah trddf0 f026bh trddf1 00h ? 1 r/w trddfi dfck1 dfck0 penb1 penb0 dfd dfc dfb dfa dfck1 dfck0 ???? ? 2 0 0 f clk /32 ? 3 0 1 f clk /8 ? 3 10 f clk ? 3 11 ? trdcri ? tck0 tck2 ??? penb1 penb0 trdiob ????? 0 0 ?00b? dfd trdiodi ???? 0 ??? 1 ?? ??? 5 ???? dfc trdioci ???? 0 ??? 1 ?? ??? 5 ?????? dfb trdiobi ???? 0 ??? 1 ?? ??? 5 ?????? dfa trdioai ???? 0 ??? 1 ?? ??? 5 ??????? trddf0 ? ? ?0b? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 284 2014.10.31 ? 8-13 ? rd ??? i trddfi i=0 1 ?? [pwm ?? pwm ??? pwm ?? ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? f026ah trddf0 f026bh trddf1 00h ? r/w trddfi dfck1 dfck0 penb1 penb0 dfd dfc dfb dfa dfck1 dfck0 trdioa ????? 0 0 ???? 0 1 10 ?l? ? 11 ?h? ? ???? rd ??? ?00b? ????? ????? trddf0 ?? ?00b? ???? penb1 penb0 trdiob ????? 0 0 ???? 0 1 10 ?l? ? 11 ?h? ? ???? rd ??? ?00b? ????? ???? dfd dfc trdioc ????? 0 0 ???? 0 1 10 ?l? ? 11 ?h? ? ???? rd ??? ?00b? ????? ???? dfb dfa trdiod ????? 0 0 ???? 0 1 10 ?l? ? 11 ?h? ? ???? rd ??? ?00b? ????? ???? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 285 2014.10.31 8.3.11 ? rd ?? i trdcri i=0 1 ?? pwm ??? trdcr1 ? ? 8-14 ? rd ?? i trdcri i=0 1 ?? [ ????? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. trdmr ? trdsync ? ?1? trd0 trd1 ?? 3. ??? 000c2h frqsel4 ? ?0? ?? f clk frqsel4 ? ?1? ?? f hoco ?? f hoco ?? rd ????? 1 per1 bit4 trd0en ? f clk ? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? 4. ??? 000c2h frqsel4 ? ?1? ?? ? f0270h trdcr0 f0280h trdcr1 00h ? 1 r/w trdcri cclr2 cclr1 cclr0 0 0 tck2 tck1 tck0 cclr2 cclr1 cclr0 trdi ? 0 00 ? 0 01 trdgrai ?? / ??? 010 trdgrbi ?? / ??? 011 ? ? rdi ??? ? 2 101 trdgrci ?? / ??? 110 trdgrdi ?? / ??? ?? tck2 tck1 tck0 ?? 0 00 f clk f hoco ? 3 0 01 f clk /2 ? 4 010 f clk /4 ? 4 011 f clk /8 ? 4 100 f clk /32 ? 4 ?? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 286 2014.10.31 ? 8-15 ? rd ?? i trdcri i=0 1 ?? [pwm ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ??? 000c2h frqsel4 ? ?0? ?? f clk frqsel4 ? ?1? ?? f hoco ?? f hoco ?? rd ????? 1 per1 bit4 trd0en ? f clk ? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? 3. ??? 000c2h frqsel4 ? ?1? ?? ? f0270h trdcr0 f0280h trdcr1 00h ? 1 r/w trdcri cclr2 cclr1 cclr0 0 0 tck2 tck1 tck0 cclr2 cclr1 cclr0 trdi ? ?001b? ? trdgrai ???? trdi ? tck2 tck1 tck0 ?? 0 00 f clk f hoco ? 2 0 01 f clk /2 ? 3 010 f clk /4 ? 3 011 f clk /8 ? 3 100 f clk /32 ? 3 ?? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 287 2014.10.31 ? 8-16 ? rd ?? 0 trdcr0 ?? [ ? pwm ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ??? 000c2h frqsel4 ? ?0? ?? f clk frqsel4 ? ?1? ?? f hoco ?? f hoco ?? rd ????? 1 per1 bit4 trd0en ? f clk ? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? 3. ??? 000c2h frqsel4 ? ?1? ?? ? f0270h trdcr0 00h ? 1 r/w trdcr0 cclr2 cclr1 cclr0 0 0 tck2 tck1 tck0 cclr2 cclr1 cclr0 trd0 ? ?001b? ? trdgra0 ???? trd0 ? tck2 tck1 tck0 ?? 0 00 f clk f hoco ? 2 0 01 f clk /2 ? 3 010 f clk /4 ? 3 011 f clk /8 ? 3 100 f clk /32 ? 3 ?? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 288 2014.10.31 ? 8-17 ? rd ?? 0 trdcr0 ?? [ pwm ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. trdcr0 ? trdcr1 ? tck0 tck2 ??? 3. ??? 000c2h frqsel4 ? ?0? ?? f clk frqsel4 ? ?1? ?? f hoco ?? f hoco ?? rd ????? 1 per1 bit4 trd0en ? f clk ? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? 4. ??? 000c2h frqsel4 ? ?1? ?? ? f0270h trdcr0 00h ? 1 r/w trdcr0 cclr2 cclr1 cclr0 0 0 tck2 tck1 tck0 cclr2 cclr1 cclr0 trd0 ? ?000b? ? tck2 tck1 tck0 ?? ? 2 0 00 f clk f hoco ? 3 0 01 f clk /2 ? 4 010 f clk /4 ? 4 011 f clk /8 ? 4 100 f clk /32 ? 4 ?? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 289 2014.10.31 8.3.12 ? rd i/o ?? ai trdiorai i=0 1 ? 8-18 ? rd i/o ?? ai trdiorai i=0 1 ?? [ ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ? trdmr ? trdbfdi ? ?1? trdgrbi ???? trdiorai ? iob2 trdiorci ? iod2 ??? 3. ? trdmr ? trdbfci ? ?1? trdgrai ???? trdiorai ? ioa2 trdiorci ? ioc2 ??? 4. ? trdiora0 ??? ioa2 ioa0 ?000b? ? f0271h trdiora0 f0281h trdiora1 00h ? 1 r/w trdiorai 0 iob2 iob1 iob0 0 ioa2 ioa1 ioa0 iob2 trdgrb ??? ? 2 ???? ?1? ?? iob1 iob0 trdgrb 0 0 ?? trdgrbi 0 1 ??? trdgrbi 10 ??? trdgrbi ?? ioa2 ? 4 trdgra ??? ? 3 ???? ?1? ?? ioa1 ? 4 ioa0 ? 4 trdgra 0 0 ?? trdgrai 0 1 ??? trdgrai 10 ??? trdgrai ?? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 290 2014.10.31 ? 8-19 ? rd i/o ?? ai trdiorai i=0 1 ?? [ ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ? trdmr ? trdbfdi ? ?1? trdgrbi ???? trdiorai ? iob2 trdiorci ? iod2 ??? 3. ? trdmr ? trdbfci ? ?1? trdgrai ???? trdiorai ? ioa2 trdiorci ? ioc2 ??? 4. ? trdiora0 ??? ioa2 ioa0 ?000b? ? f0271h trdiora0 f0281h trdiora1 00h ? 1 r/w trdiorai 0 iob2 iob1 iob0 0 ioa2 ioa1 ioa0 iob2 trdgrb ??? ? 2 ???? ?0? ?? iob1 iob0 trdgrb 0 0 ??? trdiobi ? i/o ?? 0 1 trdgrbi ??? ?l? ? 10 trdgrbi ??? ?h? ? 11 trdgrbi ??? ioa2 ? 4 trdgra ??? ? 3 ???? ?0? ?? ioa1 ? 4 ioa0 ? 4 trdgra 0 0 ??? trdioa1 ? i/o ?? 0 1 trdgrai ??? ?l? ? 10 trdgrai ??? ?h? ? 11 trdgrai ??? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 291 2014.10.31 8.3.13 ? rd i/o ?? ci trdiorci i=0 1 ? 8-20 ? rd i/o ?? ci trdiorci i=0 1 ?? [ ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ? trdmr ? trdbfdi ? ?1? trdgrbi ???? trdiorai ? iob2 trdiorci ? iod2 ??? 3. ? trdmr ? trdbfci ? ?1? trdgrai ???? trdiorai ? ioa2 trdiorci ? ioc2 ??? ? f0272h trdiorc0 f0282h trdiorc1 88h ? 1 r/w trdiorci iod3 iod2 iod1 iod0 ioc3 ioc2 ioc1 ioc0 iod3 trdgrd ??? ???? ?1? ???? iod2 trdgrd ??? ? 2 ???? ?1? ?? iod1 iod0 trdgrd 0 0 ?? trdgrdi 0 1 ??? trdgrdi 10 ??? trdgrdi ?? ioc3 trdgrc ??? ???? ?1? ???? ioc2 trdgrc ??? ? 3 ???? ?1? ?? ioc1 ioc0 trdgrc 0 0 ?? trdgrci 0 1 ??? trdgrci 10 ??? trdgrci ?? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 292 2014.10.31 ? 8-21 ? rd i/o ?? ci trdiorci i=0 1 ?? [ ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ? trdmr ? trdbfdi ? ?1? trdgrbi ???? trdiorai ? iob2 trdiorci ? iod2 ??? 3. ? trdmr ? trdbfci ? ?1? trdgrai ???? trdiorai ? ioa2 trdiorci ? ioc2 ??? 4. ? trdiorc0 ?? ?1? ? f0272h trdiorc0 f0282h trdiorc1 88h ? 1 r/w trdiorci iod3 iod2 iod1 iod0 ioc3 ioc2 ioc1 ioc0 iod3 trdgrd ??? 0 trdiob ? ?8.4.8(2) trdgrci ? trdgrdi ? i=0 1 ?? ? 1 ???? iod2 trdgrd ??? ? 2 ???? ?0? ?? iod1 iod0 trdgrd 0 0 ??? 0 1 trdgrdi ??? ?l? ? 10 trdgrdi ??? ?h? ? 11 trdgrdi ??? ioc3 ? 4 trdgrc ??? 0 trdioa ? ?8.4.8(2) trdgrci ? trdgrdi ? i=0 1 ?? ? 1 ???? ioc2 trdgrc ??? ? 3 ???? ?0? ?? ioc1 ioc0 trdgrc 0 0 ??? 0 1 trdgrci ??? ?l? ? 10 trdgrci ??? ?h? ? 11 trdgrci ??? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 293 2014.10.31 8.3.14 ? rd ??? 0 trdsr0 ? 8-22 ? rd ??? 0 trdsr0 ?? [ ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ?? rd0 ?? ?ffffh? ? ?0000h? ??? ?1? ? trdcr0 ? cclr0 cclr2 ???????? rd0 ?? ?ffffh? ? ?0000h? ??? ?1? ? f0273h 00h ? 1 r/w trdsr0 0 0 0 ovf imfd imfc imfb imfa ovf ? ? 2 [ ? ?0? ] ?0? ? 3 [ ? ?1? ] trd0 ? imfd ?? / ??? d [ ? ?0? ] ?0? ? 3 [ ? ?1? ] trdiod0 ? ? 4 imfc ?? / ??? c [ ? ?0? ] ?0? ? 3 [ ? ?1? ] trdioc0 ? ? 4 imfb ?? / ??? b [ ? ?0? ] ?0? ? 3 [ ? ?1? ] trdiob0 ? ? 5 imfa ?? / ??? a [ ? ?0? ] ?0? ? 3 [ ? ?1? ] ???? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 294 2014.10.31 ? 3. ? ? ?1? ?? ? ???? ?0? ??? ?0? ??? ?0? ? ?1? ? ?0? ? ?1? ?? ? ???? ?1? ?? ?0? ?? ?0? ??? rd ????? 3? ? ??? ? ?0? ??? rd ? i trdieri ????? (a) (c) ?? ?0? (a) ?? rd ? i trdieri ?00h? ?????? ?0? (b) ? rd ? i trdieri ?1? ???????? ?0? ???? ?0? imiea ovie ?? imieb ????? imfb ? ? rd ? i trdieri ?? ? ? rd ??? i trdsri ?? (c) ? rd ? i trdieri ?1 ? ???????? ?1 ? ?????????? ?0? imiea ?? imieb ????? imfb ? ? rd ? i trdieri ?? ? ? rd ??? i trdsri ?? 4. trdiorc0 ? iok1 iok0 k=c d ??? trdmr ? trdbfk0 ? ?1? trdgrk0 ?? 5. trdiora0 ? ioj1 ioj0 j=a b??? trdieri ? ? ? ovie imied imiec imieb imiea 00010001 ? ? trdsri ? ? udf ovf imfd imfc imfb imfa 00001010 ?????? ovf imfa ? ?0? ? imfb ?0? trdieri ? ? ? ovie imied imiec imieb imiea 00010001 ? ? trdsri ? ? udf ovf imfd imfc imfb imfa 00001011 ?????? imfa ? ?1? ??? imf a imf b ?0?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 295 2014.10.31 ? 8-23 ? rd ??? 0 trdsr0 ?? [ ??? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? f0273h 00h ? 1 r/w trdsr0 0 0 0 ovf imfd imfc imfb imfa ovf ? ? 3 [ ? ?0? ] ?0? ? 2 [ ? ?1? ] trd0 ? imfd ?? / ??? d [ ? ?0? ] ?0? ? 2 [ ? ?1? ] trd0 trdgrd0 ??? ? 4 imfc ?? / ??? c [ ? ?0? ] ?0? ? 2 [ ? ?1? ] trd0 trdgrc0 ??? ? 4 imfb ?? / ??? b [ ? ?0? ] ?0? ? 2 [ ? ?1? ] trd0 trdgrb0 ??? imfa ?? / ??? a [ ? ?0? ] ?0? ? 2 [ ? ?1? ] trd0 trdgra0 ??? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 296 2014.10.31 ? 2. ? ? ?1? ?? ? ???? ?0? ??? ?0? ??? ?0? ? ?1? ? ?0? ? ?1? ?? ? ???? ?1? ?? ?0? ?? ?0? ??? rd ????? 3? ? ??? ? ?0? ??? rd ? i trdieri ????? (a) (c) ?? ?0? (a) ?? rd ? i trdieri ?00h? ?????? ?0? (b) ? rd ? i trdieri ?1? ???????? ?0? ???? ?0? imiea ovie ?? imieb ????? imfb ? ? rd ? i trdieri ?? ? ? rd ??? i trdsri ?? (c) ? rd ? i trdieri ?1 ? ???????? ?1 ? ?????????? ?0? imiea ?? imieb ????? imfb ? ? rd ? i trdieri ?? ? ? rd ??? i trdsri ?? 3. ?? rd0 ?? ?ffffh? ? ?0000h? ??? ?1? ? trdcr0 ? cclr0 cclr2 ???????? rd0 ?? ?ffffh? ? ?0000h? ??? ?1? 4. trdmr ? trdbfk0 k=c d ? ?1? trdgrk0 ?? trdieri ? ? ? ovie imied imiec imieb imiea 00010001 ? ? trdsri ? ? udf ovf imfd imfc imfb imfa 00001010 ?????? ovf imfa ? ?0? ? imfb ?0? trdieri ? ? ? ovie imied imiec imieb imiea 00010001 ? ? trdsri ? ? udf ovf imfd imfc imfb imfa 00001011 ?????? imfa ? ?1? ??? imfa imfb ?0?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 297 2014.10.31 8.3.15 ? rd ??? 1 trdsr1 ? 8-24 ? rd ??? 1 trdsr1 ?? [ ?? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 2. ?? rd1 ?? ?ffffh? ? ?0000h? ??? ?1? ? trdcr1 ? cclr0 cclr2 ???????? rd1 ?? ?ffffh? ? ?0000h? ??? ?1? ? f0283h 00h ? 1 r/w trdsr1 0 0 udf ovf imfd imfc imfb imfa udf ? ???? ovf ? ? 2 [ ? ?0? ] ?0? ? 3 [ ? ?1? ] trd1 ? imfd ?? / ??? d [ ? ?0? ] ?0? ? 3 [ ? ?1? ] trdiod1 ? ? 4 imfc ?? / ??? c [ ? ?0? ] ?0? ? 3 [ ? ?1? ] trdioc1 ? ? 4 imfb ?? / ??? b [ ? ?0? ] ?0? ? 3 [ ? ?1? ] trdiob1 ? ? 5 imfa ?? / ??? a [ ? ?0? ] ?0? ? 3 [ ? ?1? ] trdioa1 ? ? 5 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 298 2014.10.31 ? 3. ? ? ?1? ?? ? ???? ?0? ??? ?0? ??? ?0? ? ?1? ? ?0? ? ?1? ?? ? ???? ?1? ?? ?0? ?? ?0? ??? rd ????? 3? ? ??? ? ?0? ??? rd ? i trdieri ????? (a) (c) ?? ?0? (a) ?? rd ? i trdieri ?00h? ?????? ?0? (b) ? rd ? i trdieri ?1? ???????? ?0? ???? ?0? imiea ovie ?? imieb ????? imfb ? ? rd ? i trdieri ?? ? ? rd ??? i trdsri ?? (c) ? rd ? i tr dieri ?1 ? ???????? ?1? ?????????? ?0? imiea ?? imieb ????? imfb ? ? rd ? i trdieri ?? ? ? rd ??? i trdsri ?? 4. trdiorc1 ? iok1 iok0 k=c d ??? trdmr ? trdbfk1 ? ?1? trdgrk1 ?? 5. trdiora1 ? ioj1 ioj0 j=a b??? trdieri ? ? ? ovie imied imiec imieb imiea 00010001 ? ? trdsri ? ? udf ovf imfd imfc imfb imfa 00001010 ?????? ovf imfa ? ?0? ? imfb ?0? trdieri ? ? ? ovie imied imiec imieb imiea 00010001 ? ? trdsri ? ? udf ovf imfd imfc imfb imfa 00001011 ?????? imfa ? ?1? ??? imf a imf b ?0?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 299 2014.10.31 ? 8-25 ? rd ??? 1 trdsr1 ?? [ ??? ] ? 1. ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? f0283h 00h ? 1 r/w trdsr1 0 0 udf ovf imfd imfc imfb imfa udf ? pwm ?? [ ? ?0? ] ?0? ? 2 [ ? ?1? ] trd1 ? ?? pwm ?? ovf ? ? 3 [ ? ?0? ] ?0? ? 2 [ ? ?1? ] trd1 ? imfd ?? / ??? d [ ? ?0? ] ?0? ? 2 [ ? ?1? ] trd1 trdgrd1 ??? ? 4 imfc ?? / ??? c [ ? ?0? ] ?0? ? 2 [ ? ?1? ] trd1 trdgrc1 ??? ? 4 imfb ?? / ??? b [ ? ?0? ] ?0? ? 2 [ ? ?1? ] trd1 trdgrb1 ??? imfa ?? / ??? a [ ? ?0? ] ?0? ? 2 [ ? ?1? ] trd1 trdgra1 ??? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 300 2014.10.31 ? 2. ? ? ?1? ?? ? ???? ?0? ??? ?0? ??? ?0? ? ?1? ? ?0? ? ?1? ?? ? ???? ?1? ?? ?0? ?? ?0? ??? rd ????? 3? ? ??? ? ?0? ??? rd ? i trdieri ????? (a) (c) ?? ?0? (a) ?? rd ? i trdieri ?00h? ?????? ?0? (b) ? rd ? i trdieri ?1? ???????? ?0? ???? ?0? imiea ovie ?? imieb ????? imfb ? ? rd ? i trdieri ?? ? ? rd ??? i trdsri ?? (c) ? rd ? i tr dieri ?1 ? ???????? ?1? ?????????? ?0? imiea ?? imieb ????? imfb ? ? rd ? i trdieri ?? ? ? rd ??? i trdsri ?? 3. ?? rd1 ?? ?ffffh? ? ?0000h? ??? ?1? ? trdcr1 ? cclr0 cclr2 ???????? rd1 ?? ?ffffh? ? ?0000h? ??? ?1? 4. trdmr ? trdbfk1 k=c d ? ?1? trdgrk1 ?? trdieri ? ? ? ovie imied imiec imieb imiea 00010001 ? ? trdsri ? ? udf ovf imfd imfc imfb imfa 00001010 ?????? ovf imfa ? ?0? ? imfb ?0? trdieri ? ? ? ovie imied imiec imieb imiea 00010001 ? ? trdsri ? ? udf ovf imfd imfc imfb imfa 00001011 ?????? imfa ? ?1? ??? imfa imfb ?0?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 301 2014.10.31 8.3.16 ? rd ? i trdieri i=0 1 ? 8-26 ? rd ? i trdieri i=0 1 ?? ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? f0274h trdier0 f0284h trdier1 00h ? r/w trdieri 0 0 0 ovie imied imiec imieb imiea ovie / ? 0 ? ovf udf ? ovi 1 ovf udf ? ovi imied ?? / ?? d 0 ? imfd ? imid 1 imfd ? imid imiec ?? / ?? c 0 ? imfc ? imic 1 imfc ? imic imieb ?? / ?? b 0 ? imfb ? imib 1 imfb ? imib imiea ?? / ?? a 0 ? imfa ? imia 1 imfa ? imia 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 302 2014.10.31 8.3.17 ? rd pwm ??? i trdpocri i=0 1 ?? pwm ? trdpocri ?? trdpocri ?? ? 8-27 ? rd pwm ??? i trdpocri i=0 1 ?? [pwm ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? f0275h trdpocr0 f0285h trdpocr1 00h ? r/w trdpocri 0 0 0 0 0 pold polc polb pold pwm ?? d 0 trdiodi ?? ?l? ? 1 trdiodi ?? ?h? ? polc pwm ?? c 0 trdioci ?? ?l? ? 1 trdioci ?? ?h? ? polb pwm ?? b 0 trdiobi ?? ?l? ? 1 trdiobi ?? ?h? ? 76543210
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 303 2014.10.31 8.3.18 ? rd i trdi i=0 1 [ ??? ] 16 8 ?? trdi ? [ ? pwm ?? ] 16 8 ?? trd0 ??? pwm ??? trd1 ? [ pwm ?? trd0 ] 16 8 ?? trd0 ? [ pwm ?? trd1 ] 16 8 ?? trd1 ? ? 8-28 ? rd i trdi i=0 1 ?? [ ??? ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? 8-29 ? rd i trdi i=0 1 ?? [ ? pwm ?? ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? f0276h trd0 f0286h trd1 0000h ? r/w 1514131211109876543210 trdi ? ? bit15 0 ?? trdsri ? ovf ?? ?1? 0000h ffffh ? f0276h trd0 f0286h trd1 0000h ? r/w 1514131211109876543210 trdi ? ? bit15 0 ?? trdsr0 ? ovf ?? ?1? 0000h ffffh
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 304 2014.10.31 ? 8-30 ? rd i trdi i=0 1 ?? [ pwm ?? trd0 ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? 8-31 ? rd i trdi i=0 1 ?? [ pwm ?? trd1 ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? f0276h trd0 f0286h trd1 0000h ? r/w 1514131211109876543210 trdi ? ? bit15 0 ??? ???? trdsr0 ? ovf ?? ?1? 0001h ffffh ? f0276h trd0 f0286h trd1 0000h ? r/w 1514131211109876543210 trdi ? ? bit15 0 ?0000h? ???? trdsr1 ? udf ?? ?1? 0000h ffffh
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 305 2014.10.31 8.3.19 ? rd ?? ai bi ci di trdgrai trdgrbi trdgrci trdgrdi i=0 1 [ ?? ] 16 8 ?? trdgrai trdgrdi ? ?????? trdoer1 trdoer2 trdocr trdpocr0 trdpocr1 ??? trddfi ? dfj ? ?0? trdioji ???? ? 3 ? rd ? f clk ? [ ?? ] 16 8 ?? trdgrai trdgrdi ? ?????? trddf0 trddf1 trdpocr0 trdpocr1 [pwm ] 16 8 ?? trdgrai trdgrdi ? ? pwm ??? trddf0 trddf1 trdiora0 trdiorc0 trdiora1 trdiorc1 [ ? pwm ?? ] 16 8 ?? trdgrai trdgrdi ? ?? pwm ???? trdpmr trdocr ? trddf0 trddf1 trdiora0 trdiorc0 trdpocr0 trdiora1 trdiorc1 trdpocr1 ? ?? pwm ??? pwm ?? trdioc0 ???? trdocr ? toc0 ? [ pwm ?? ] 16 8 ?? trdgrai trdgrdi ? ? pwm ??? trdgrc0 ? ? pwm ???? trdpmr trdocr ? trddf0 trddf1 trdiora0 trdiorc0 trdpocr0 trdiora1 trdiorc1 trdpocr1 ? ?? pwm ??? pwm ?? trdioc0 ???? trdocr ? toc0 ? ???? trdgrb0 trdgra1 trdgrb1 ? ?? ?? trdgrd0 trdgrc1 trdgrd1 ?? ?? trdgrd0 trdgrc1 trdgrd1 ??? trdbfd0 trdbfc1 trdbfd1 ?0? ?????? trdbfd0 trdbfc1 trdbfd1 ?1? ?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 306 2014.10.31 ? 8-32 ? rd ?? ai bi ci di trdgrai trdgrbi trdgrci trdgrdi i=0 1 ?? [ ?? ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 8-3 ???? trdgrji ? ? i=0 1 j=a b c d trdbfci trdbfdi trdmr ? ? f0278h trdgra0 f027ah trdgrb0 ffffh ? r/w fff58h trdgrc0 fff5ah trdgrd0 f0288h trdgra1 f028ah trdgrb1 fff5ch trdgrc1 fff5eh trdgrd1 1514131211109876543210 trdgrai trdgrbi trdgrci trdgrdi ? bit15 0 ? 8-3 ???? trdgrji ? ? ? ? ? ?? trdgra1 ? ?????? trdi ?? trdioa1 trdgrbi trdiobi trdgrci trdbfci=0 ?????? trdi ?? trdioci trdgrdi trdbfdi=0 trdiodi trdgrc1 trdbfc1=1 ?????? trdi ?? ?8.4.2 ? trdioa1 trdgrdi trdbfdi=1 trdiobi
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 307 2014.10.31 ? 8-33 ? rd ?? ai bi ci di trdgrai trdgrbi trdgrci trdgrdi i=0 1 ?? [ ?? ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 8-4 ???? trdgrji ? ? trdcri ? tck2 tck0 ?000b? f clk f hoco ??? ?0000h? ??? elc 1 ????? ?0001h? ?????? ? i=0 1 j=a b c d k=c d ? f0278h trdgra0 f027ah trdgrb0 ffffh ? r/w fff58h trdgrc0 fff5ah trdgrd0 f0288h trdgra1 f028ah trdgrb1 fff5ch trdgrc1 fff5eh trdgrd1 1514131211109876543210 trdgrai trdgrbi trdgrci trdgrdi ? bit15 0 ? 8-4 ???? trdgrji ? ? ? ? ? ?? trdbfki ioj3 trdgra1 ? ? ???? trdioa1 trdgrbi trdiobi trdgrci 0 1 ???? trdioci trdgrdi trdiodi trdgrc1 1 1 ???? ?8.4.2 ? trdioa1 trdgrdi trdiobi trdgrc1 0 0 trdioa1 ?8.4.8(2) trdgrci ? trdgrdi ? i=0 1 ?? ? trdioa1 trdgrdi trdiobi trdiobi
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 308 2014.10.31 ? 8-34 ? rd ?? ai bi ci di trdgrai trdgrbi trdgrci trdgrdi i=0 1 ?? [pwm ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 8-5 ? pwm ? trdgrji ? ? trdcri ? tck2 tck0 ?000b? f clk f hoco ??? ?0000h? ??? elc 1 ????? ?0001h? ?????? ? i=0 1 j=a b c d trdbfci trdbfdi trdmr ? ? f0278h trdgra0 f027ah trdgrb0 ffffh ? r/w fff58h trdgrc0 fff5ah trdgrd0 f0288h trdgra1 f028ah trdgrb1 fff5ch trdgrc1 fff5eh trdgrd1 1514131211109876543210 trdgrai trdgrbi trdgrci trdgrdi ? bit15 0 ? 8-5 ? pwm ? trdgrji ? ? ? ? ? pwm trdgrai ? ??? pwm ? ? trdgrbi ? ??? pwm ?? trdiobi trdgrci trdbfci=0 ??? pwm ?? trdioci trdgrdi trdbfdi=0 trdiodi trdgrci trdbfci=1 ??? pwm ?8.4.2 ? ? trdgrdi trdbfdi=1 ??? pwm ? ?8.4.2 ? trdiobi
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 309 2014.10.31 ? 8-35 ? rd ?? ai bi ci di trdgrai trdgrbi trdgrci trdgrdi i=0 1 ?? [ ? pwm ?? ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? 8-6 ? pwm ?? trdgrji ? ? trdcr0 ? tck2 tck0 ?000b? f clk f hoco ??? ?0000h? ??? elc 1 ????? ?0001h? ?????? ? i=0 1 j=a b c d trdbfc0 trdbfd0 trdbfc1 trdbfd1 trdmr ? ? f0278h trdgra0 f027ah trdgrb0 ffffh ? r/w fff58h trdgrc0 fff5ah trdgrd0 f0288h trdgra1 f028ah trdgrb1 fff5ch trdgrc1 fff5eh trdgrd1 1514131211109876543210 trdgrai trdgrbi trdgrci trdgrdi ? bit15 0 ? 8-6 ? pwm ?? trdgrji ? ? ? ? ? pwm trdgra0 ? ??? pwm ? trdioc0 ? pwm ? trdgrb0 ? ??? pwm1 ?? trdiob0 trdiod0 trdgrc0 trdbfc0=0 ?? pwm ???? ? trdgrd0 trdbfd0=0 trdgra1 ? ??? pwm2 ?? trdioa1 trdioc1 trdgrb1 ? ??? pwm3 ?? trdiob1 trdiod1 trdgrc1 trdbfc1=0 ?? pwm ???? ? trdgrd1 trdbfd1=0 trdgrc0 trdbfc0=1 ??? pwm ?8.4.2 ? trdioc0 ? pwm ? trdgrd0 trdbfd 0=1 ??? pwm1 ? ?8.4.2 ? trdiob0 trdiod0 trdgrc1 trdbfc1=1 ??? pwm2 ? ?8.4.2 ? trdioa1 trdioc1 trdgrd1 trdbfd1=1 ??? pwm3 ? ?8.4.2 ? trdiob1 trdiod1
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 310 2014.10.31 ? 8-36 ? rd ?? ai bi ci di trdgrai trdgrbi trdgrci trdgrdi i=0 1 ?? [ pwm ?? ] ? ??? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ??? ?????? f clk ?? f ih ? trd0en ?1? ?? ? f0278h trdgra0 f027ah trdgrb0 ffffh ? r/w fff58h trdgrc0 fff5ah trdgrd0 f0288h trdgra1 f028ah trdgrb1 fff5ch trdgrc1 fff5eh trdgrd1 1514131211109876543210 trdgrai trdgrbi trdgrci trdgrdi ? bit15 0 ? 8-7 pwm ?? trdgrji ? ?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 311 2014.10.31 8-7 pwm ?? trdgrji ? ? trdcri ? tck2 tck0 ?000b? f clk f hoco ??? ?0000h? ??? elc 1 ????? ?0001h? ?????? ? i=0 1 j=a b c d trdbfd0 trdbfc1 trdbfd1 trdmr ? ? ? ? pwm trdgra0 ? ??????? pwm ? ? trd0 ??? trdgra0 ?? ffffh?trd0 ??? trdstr ? tstart0 tstart1 ? ?1? ???? trdioc0 ? ? trdgrb0 ? ??????? pwm1 ?? ? trd0 ??? trdgrb0 ?? trdgra0 ?? ?trd0 ??? trdstr ? tstart0 tstart1 ? ?1? ???? trdiob0 trdiod0 trdgra1 ? ??????? pwm2 ?? ? trd0 ??? trdgra1 ?? trdgra0 ?? ?trd0 ??? trdstr ? tstart0 tstart1 ? ?1? ???? trdioa1 trdioc1 trd g rb1 ? ??????? pwm3 ?? ? trd0 ??? trdgrb1 ?? trdgra0 ?? ?trd0 ??? trdstr ? tstart0 tstart1 ? ?1? ???? trdiob1 trdiod1 trdgrc0 ? ? pwm ???? ? trdgrd0 trdbfd0=1 ??? pwm1 ? ?8.4.2 ? ? trd0 ??? trdgrd0 ?? trdgra0 ?? ?trd0 ??? ????? trdgrb0 ??? trdiob0 trdiod0 trdgrc1 trdbfc1=1 ??? pwm2 ? ?8.4.2 ? ? trd0 ??? trdgrc1 ?? trdgra0 ?? ?trd0 ??? ????? trdgra1 ??? trdioa1 trdioc1 trdgrd1 trdbfd1=1 ??? pwm3 ? ?8.4.2 ? ? trd0 ??? trdgrd1 ?? trdgra0 ?? ?trd0 ??? ????? trdgrb1 ??? trdiob1 trdiod1
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 312 2014.10.31 8.3.20 ???? 1 pm1 ??? 1 / ?? ?????? p10/trdiod1 p11/trdioc1 ?????? ?????? pmxx ???? pxx ?0? p10/trdiod1 ? ???? 1 pm10 ?0? ??? 1 p10 ?0? ?????? p10/trdiod1 p11/trdioc1 ?????? ?????? pmxx ?1? ???? pxx ?0? ?1? p10/trdiod1 ? ???? 1 pm10 ?1? ??? 1 p10 ?0? ?1? ? 1 8 ??? pm1 ? ????????? ?ffh? ? 8-37 ???? 1 pm1 ?? ? fff21h ffh r/w 76543210 pm1 1 pm16 pm15 pm14 pm13 pm12 pm11 pm10 pmmn pmn ? / ??? m=1 n=0 6 0 ?? on 1 ?? off
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 313 2014.10.31 8.4 ????? 8.4.1 ? ???????? 8-8 ?? ? i=0 1 ? ??? 000c2h frqsel4 ? ?0? ?? f clk frqsel4 ? ?1? ?? f hoco ?? f hoco ?? rd ????? 1 per1 bit4 trd0en ? f clk ? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? ? 8-38 ??? ? ??? f clk f hoco ? f clk /2 f clk /4 f clk /8 f clk /32 ? trdcri ? tck2 tck0 ?? f hoco f clk /2 f clk /4 f clk /8 f clk /32 f clk tck2  tck0 trdi ?, < = 001b = 010b = 011b = 000b = 100b frqsel4 = 0 frqsel4 = 1 ?"?i=0?1 tck0tck2?trdcri?, <,x! frqsel4?* eynm+8v(000c2h),x! aud$d
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 314 2014.10.31 8.4.2 ? trdmr ? trdbfci i=0 1 trdbfdi trdgrc1 ? trdgrdi ? ??? trdgra1 ? trdgrbi ??? ? trdgra1 ?? trdgrc1 ? ? trdgrbi ?? trdgrdi ? ??????? 8-9 ? 8-9 ??? ? i=0 1 ??? ? ??? ? ?? ?? trdioai ? ????? trdgrai ??? trdgrci ? trdiobi ? ????? trdgrbi ??? trdgrdi ? ?? trdi ? trdgrai ???? trdgrci ? ?? ? trdgrai ? trdi ? trdgrbi ???? trdgrdi ? ?? ? trdgrbi ? pwm trdi ? trdgrai ???? trdgrci ? ?? ? trdgrai ? trdi ? trdgrbi ???? trdgrdi ? ?? ? trdgrbi ? ? pwm ?? trd0 ? trdgra0 ???? trdgrci ? ?? ? trdgrai ? trdgrdi ? ?? ? trdgrbi ? pwm ?? ? trdfcr ? cmd1 cmd0 ?11b? ?? trd1 ? ? trdfcr ? cmd1 cmd0 ?10b? ?? trd0 ? trdgra0 ????? trd g rc1 ? ?? ? trdgra1 ? trdgrdi ? ?? ? trdgrbi ?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 315 2014.10.31 ? 8-39 ???? ? 8-40 ???? m ?e? n n?1 n+1 trdioaieg9 trdi?, < ?"?i=1 t ,x5v?? gtrdmr?, <,xtrdbfci!?1?(trdgrci?, <trdgrai?, <,x4???, <)? gtrdiorai?, <,xioa2ioa0!?100b?( t "?eg9))? m ?e? n trdgrci?, < (4?? <) trdgrai ?, < trdi trdioaieg9 (eg9) ? trdgrai?, < trdgrci?, < ( 4?? < ) mn trdgrai?, < m?1 m+1 trdi?, < ?"?i=1 t ,x5v?? gtrdmr?, <,xtrdbfci!?1?(trdgrci ?, <trdgrai?, <,x4???, <)? gtrdiorai?, <,xioa2ioa0 !?001b?( !ew g!eg??l?+ g)? n ?e? trdgrci?, < ( 4?? < ) m trdioai eg? trdgrci?, < (4?? <) trdgrai ?, < !ew < trdi !ew g! ?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 316 2014.10.31 ???? ??????? trdgrci i=0 1 ? trdgrai ??? ? ? trdiorci ? ioc3 ?1? ???? ? trdiorci ? ioc2 trdiorai ? ioa2 ??? trdgrdi ? trdgrbi ??? ? ? trdiorci ? iod3 ?1? ???? ? trdiorci ? iod2 trdiorai ? iob2 ??? ????? trdgrci ? trdgrdi ?? trdioci trdiodi ?? trdsri ? imfc imfd ?? ?1? ???? pwm ??? pwm ??? pwm ??? trdgrci ? trdgrdi ??? trdi ???? trdsri ? imfc imfd ?? ?1?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 317 2014.10.31 8.4.3 ? ? trd0 ? trd1 ?? ? ?? trdmr ? trdsync ? ?1? ?? trdi ???? trd0 ? trd1 ? ? ? trdmr ? trdsync ? ?1? trdcr0 ? cclr2 cclr0 ? ?011b? ? ? trd0 ? trd1 ???? ?0000h? ? trdmr ? trdsync ? ?1? trdcr1 ? cclr2 cclr0 ? ?011b? ? ? trd1 ? trd0 ???? ?0000h? ? 8-41 ? trd0 ?, <,x trdiob0eg9 n ?n mn trd1 ?, <,x n ? n atrd0 !9 ??0000h? ? t ,x5v?? gtrdmr?, <,xtrdsync!?1?( !9e> )? gtrdcr0?, <,xcclr2cclr0!?010b?( eg9)trd05b?0000h?) ? trdcr1?, <,xcclr2cclr0!?011b?(atrd0 !9trd15b?0000h?)? gtrdiora0?, <,xiob2iob0!?100b?? gtrdfcr?, <,xcmd1!
`cmd0!?00b?? eg9) ??0000h?? ( trdiob0eg9,xt "?eg9))
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 318 2014.10.31 8.4.4 ??? ? pwm ??? pwm ??? pwm ??? intp0 ?? trdioji i=0 1 j=a b c d trdoer1 ??? ?0? ?? rd ?????? ? rd ? trdoer2 ? trdpto ? ?1? ???? intp0 ? trddf0 ? trddf1 ? dfck1 dfck0 penb1 penb0 dfd dfc dfb dfa ??? rd ?? ????? ? ? trddfi ???????? ?l? ? ?h? ? ? ? elc ???? ? 8.4.5 ?? elc ? ? ? ???? trdoer2 ? trdshuts ? ?1? ?????? ??? tstarti=0 trdshuts ?0? ? trdoer2 ? trdpt o ?1? ???? intp0 ? ?? trdioa0 ?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 319 2014.10.31 ? 8-42 ??? intp0 eg9 elcobe0?elcobe1 ? trdelc ?, <,x! trdpto?trdshuts ? trdoer2 ?, <,x! pm10?pm11?pm15?pm17 ?pm1 ?, <,x! dfck1?dfck0?penb1?penb0?  trddf0 ?, <,x! dfd?dfc?dfb?dfa ? trddf1 ?, <,x! trdpto elc _eg90 elcobe0 elc _eg91 elcobe1 trdshuts ! trdiob0 penb1 ? penb0 pm15 trdioc1 dfd ? dfc pm11 trdiod1 dfb ? dfa pm10 hi-z ey? ? n R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 320 2014.10.31 8.4.5 ?? elc ? ? elc ?? rd 2 (a) trdiod0/trdiod1 ?? ? elc ?? rd trdiod0/trdiod1 ?? ????????????? trdelc ? elcice0 elcice1 ?1? ?? ?????? pwm ?? pwm ?? pwm ??? (b) ??? ? ? elc ???????????? pwm ? ? pwm ?? pwm ??? elcobe0 elcobe1 ?1? ???? ????? ? intp0 ?????? ?l? ?? elc ????? elc 1 ?? 1 ? (1) elc ????? rd (2) trdelc ? elcicei i=0 1 elcobei i=0 1 ?1? 8.4.6 ?? elc ? ? rd ?? elc ? 8-10 ? 8-10 ? rd ?? elc ? ??? ? elc ?? ? trdiora0 ? iob1 iob0 ? trdiob0 ? ? trdiorc0 ? ioc1 ioc0 ? trdioc0 ? ? ? trdiorc0 ? iod1 iod0 ? trdiod0 ? ? ? trdiora1 ? ioa1 ioa0 ? trdioa1 ? ? trdiora1 ? iob1 iob0 ? trdiob1 ? ? trdiorc1 ? ioc1 ioc0 ? trdioc1 ? ? ? trdiorc1 ? iod1 iod0 ? trdiod1 ? ? ??? pwm ? ? pwm ?? pwm ?? trd0 ? trdgra0 ???? trd0 ? trdgrb0 ???? trd0 ? trdgrc0 ???? ? trd0 ? trdgrd0 ???? ? trd1 ? trdgra1 ???? trd1 ? trdgrb1 ???? trd1 ? trdgrc1 ???? ? trd1 ? trdgrd1 ???? ? pwm ?? trd1 ?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 321 2014.10.31 8.4.7 ?? ????????? trdioji ? i=0 1 j=a b c d ??? trdi ? ?? trdgrji ? ??? trdioji ? trdgrji ? ???????????? ??????? ? 8-43 ? 8-44 ????? 8-11 ? ? 8-43 ????? 0 1 fvo klcdrt 0drt f klc 2/ f klc 4/ f klc 8/ f klc 23/ tck2tck0 klcts 0: eg9 1: eg9y 0gekc ? 1gekc :b00 :b10 :b01 0coidrt/61p 0crgdrt 0cfmi 0doidrt/41p 0bfmi 0dfmi 0afmi afmi.0rsdrt aeimi.0reidrt 0bfmi bfmi.0rsdrt beimi.0reidrt 0cfmi cfmi.0rsdrt ceimi.0reidrt 0dfmi dfmi.0rsdrt deimi.0reidrt fvo fvo.0rsdrt eivo.0reidrt 0drttni f klc f ocoh 0brgdrt 0dfbdrt 0drgdrt :0 0drgdrt :1 0drgdrt 0boidrt/51p cclr2cclr0 cnysdrt 1drt b000 b100 b010 b110 b001 b101 0coi ? 1coi :b00 :b10 :b01 0boi ? 1boi :b00 :b10 :b01 0doi ? 1doi :b00 :b10 :b01 b000 b100 b010 b110 b101 b011 0tratst :0 :1 b00 b10 b01 b11 f klc 23/ f klc 8/ f klc 61mp 51mp 41mp cfd :0 :1 bfd :0 :1 dfd :0 :1 0kcfd ? 1kcfd 0 1 0ecicle eynm+8v (000c2h) ,x frqsel4 e?"? {
f?js eg9 t "? ?l!"? ?e?"? 0!6aud ??aud audjs aud <#l8 ? n < rd ,xaud < 0 t$v ? ?e?{
+ c? ?e? ? ?e?{
+ c? ?e? ? ?e?{
+ c? ?e? ? ?e?{
+ c? ?e? ? n < (elc) eg9,x_
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 322 2014.10.31 8-11 ???? ? ???? 000c2h frqsel4 ? ?1? ?? f hoco ?? f hoco ?? rd ? ???? 1 per1 bit4 trd0en ? f clk ?? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? ? ?? trdioa0 ? ? i=0 1 j=a b c d ? ? f hoco ? f clk f clk /2 f clk /4 f clk /8 f clk /32 trdcri ? cclr2 cclr0 ? ?000b? ? 1/fk 65536 fk ?? ? trdstr ? tstarti ? ?1? ? ?? trdstr ? cseli ? ?1? ? tstarti ? ?0? ?? ?? ? ?? trdioji ? ? trdi trdiob0 trdioc0 trdiod0 trdioa1 trdiod1 ? i/o ???? ?? intp0 ? ? ???? intp0 ? ? trdi ??? ? ? trdmr ? trdsync ? ?0? ? rd0 ?? rd1 ? trdi ? ? trdmr ? trdsync ? ?1? ? rd0 ?? rd1 ?? trdi ???? trd0 ? trd1 ? ? ? ???? trdioai trd iobi trdioc i trdiodi 1 ? ? ???? ???? ? trdi ?0000h? ? ?? ? ?8.4.2 ? ? ? ?8.4.3 ? ? ? ? trdioji ? 3 ???? ? ??? elc ???
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 323 2014.10.31 (1) ?? trdcri ? i=0 1 cclr0 cclr2 ????????? rdi ??? ? 8-44 ? cclr2 cclr0 ?001b? ????? ??????? ?ffffh? ???????? ? trdsri ? imfa imfd ovf ?????? ?1? ? 8-44 ??? trdi?, <,x aud ffffh 0009h 0006h trdstr?, <,x tstarti ! 65536 trdgrai?, < 0000h trdioaieg9 trdgrci?, < trdsri?, <,x imfa ! trdsri?, <,x ovf ! e?e?/?c5b?0?? ?e? 0009h 0006h 0006h ?e? ?"?i=1 aud$d t ,x5v?? g trdcri?, <,xcclr2cclr0!?001b?( trdgraieg9)trdi5b?0000h?)? g trdiorai?, <,xioa2 ioa0!?101b?( trdioaieg9,x?l!"?eg9))? g trdmr?, <,x trdbfci !?1?(trdgrci?, <trdgrai?, <,x4???, <)? k
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 324 2014.10.31 (2) ? trdioji i=0 1 j=a b c d ? 3 ????? trddfi ???????? ??? ? 8-45 ? ? 8-45 ??? ? 1. ??? 000c2h frqsel4 ? ?0? ?? f clk frqsel4 ? ?1? ?? f hoco 2. ???? 000c2h frqsel4 ? ?1? ? f clk /32 f clk /8 f clk ?? f hoco /32 f hoco /8 f hoco c dq dfj ioa2  ioa0 iob2  iob0 ioc3  ioc0 iod3  iod0 c dq f clk = 100b = 011b = 010b = 001b f clk /4 f clk /2 f clk /8 f clk /32 f clk ?f hoco "? 1 = 000b 1 0 dfck1 ? dfck0 f clk /32 "? 2 f clk /8 "? 2 f clk "? 2 = 00b = 01b = 10b = 11b tck2  tck0 c dq c dq j?, < j?, < j?, < ,  ? ?#+ c? (?o js aud$d e?e?2!??o !9? tck2tck05dfck1dfck0 ey?,xjs
< g? js trdiojieg9 ? 4e?d+$?"? < a ,xeg9 ? 3 ? ? ??u 1 ?  ?? >?5?e??  ??e?e3 ??5tg? js? 3 ? ?f?,  ?? ?,x ?? "??{
?"uy trdioa0 6?? ?"? i=0 ?1j=a ?b?c ? d tck0tck2?trdcri ?, <,x! dfck0?dfck1?dfj?trddf?, <,x! ioa0 ioa2 ?iob0iob2?trdiorai?, <,x! ioc0ioc3?iod0iod3?trdiorci?, <,x!
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 325 2014.10.31 8.4.8 ?? ? trdi ? i=0 1 ? trdgrji ? j=a b c d ? ? ??????? trdioji ??? trdioji ? trdgrji ????????????? ??????? ? 8-46 ? 8-47 ????? 8-12 ? ? 8-46 ????? 0 1 fvo klcdrt d0rt f klc 2/ f klc 4/ f klc 8/ f klc 23/ tck2tck0 0tratst :0 :1 klcts :0 :1 0gekc ? 1gekc :b00 :b10 :b01 0lesc
` trdgra0 !ew g!0!6aud :0 :1 0coidrt/61p 0crgdrt 0cfmi 51p 41p 41mp 41mop 0doidrt/41p 0bfmi 0dfmi 0afmi afmi.0rsdrt aeimi.0reidrt 0bfmi bfmi.0rsdrt beimi.0reidrt 0cfmi cfmi.0rsdrt ceimi.0reidrt 0dfmi dfmi.0rsdrt deimi.0reidrt fvo fvo.0rsdrt eivo.0r eidrt 0drttni f klc f ocoh 0brgdrt 0dfbdrt 0drgdrt :0 0drgdrt :1 0drgdrt 61p 61mp 0boidrt/51p cclr2 cclr0 cnysdrt 1drt b000 b100 b010 b110 b001 b101 0coi ? 1coi :b00 :b10 :b01 :b11 0boi ? 1boi :b0 0 :b10 :b01 :b11 0doi ? 1doi :b00 :b10 :b01 :b11 b000 b100 b010 b110 b101 b011 0 1 0cot :0 :1 3coi 0bot :0 :1 0 1 0dot :0 :1 3coi 0ce :0 :1 0be :0 :1 0de :0 :1 51mp 51mop 61cmp eynm+8v(000c2h) ,xfrqsel4 e?"? {
f?js eg9 t "? ?l!"? ?e?"? 0!6aud ??aud 4?4aud aud,xey? aud <#l8 ? n + c? !ew g! ? !ew g! ? !ew g! ? !ew+ c? !ew+ c? !ew+ c? ?e? ? n /u!6eg? ( eg? ?l? + g ) !ew g!eg? ?l? + g !ew g!eg? ?h? + g !ew g!xeg? + g{
/u!6eg? ( eg? ?l? + g ) !ew g!eg? ?l? + g !ew g!eg? ?h? + g !ew g!xeg? + g{
/u!6eg? ( eg? ?l? + g ) !ew g!eg? ?l? + g !ew g!eg? ?h? + g !ew g!xeg? eg?{
??eg? ?l? + g ??eg? ?h? + g eg?{
??eg? ?l? + g ??eg? ?h? + g eg?{
??eg? ?l? + g ??eg? ?h? + g a?eg? /u!6eg? a?eg? /u!6eg? a?eg? /u!6eg?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 326 2014.10.31 8-12 ???? ? ???? 000c2h frqsel4 ? ?1? ?? f hoco ?? f hoco ?? rd ? ???? 1 per1 bit4 trd0en ? f clk ?? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? ? i=0 1 j=a b c d ? ? f hoco ? f clk f clk /2 f clk /4 f clk /8 f clk /32 ? trdcri ? cclr2 cclr0 ? ?000b? ? 1/fk 65536 fk ?? ? trdcri ? cclr1 cclr0 ? ?01b? ?10b? trdgrji ??? trdi ?0000h? ? 1/fk (n+1) n trdgrji ??? ? ?? trdi ? trdgrji ?? ? trdstr ? tstarti ? ?1? ? ?? ? trdstr ? cseli ? ?1? ? tstarti ? ?0? ?? ??????? ? trdstr ? cseli ? ?0? ? trdgrai ?????? ???????? ?? ? ?? trdi ? trdgrji ?? ? trdi trdiob0 trdioc0 trdiod0 trdioa1 trdiod1 i/o ???? ?? intp0 ? ? ???? intp0 ? ? trdi ??? ? ? trdmr ? trdsync ? ?0? ? rd0 ?? rd1 ? trdi ? ? trdmr ? trdsync ? ?1? ? rd0 ?? rd1 ?? trdi ???? trd0 ? trd1 ? ? ? ???? trdioa1 trdiobi trdioci trdiodi 1 ? ? ????? ?l? ? ?h? ??? ? ??? ????????? ? trdi ?0000h? ? trdgrai ???? ? ?8.4.2 ? ? ? ?8.4.3 ? ? ? trdgrc1 trdgrdi ?? ? trdgrc1 trdgrdi ? trdioa1 ? trdiobi ?? ? ?? rd ??
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 327 2014.10.31 (1) ?? trdcri ? i=0 1 cclr0 cclr2 ????????? rdi ??????? ?ffffh? ?? ?ffffh? ? ?0000h? ? ? ?1? ? 8-47 ??? ?eg?+ g? m n p trdi ?, <,x aud$d m+1 m+1 trdstr?, <,x tstarti ! trdioaieg? trdsri?, <,x imfa! n+1 trdiobieg? !ew g! ?,eg?? !ew g!eg??h?+ g? e?e?/?c5b?0?? trdsri?, <,x imfb! ??eg??l?+ g? ??eg??l?+ g? trdiocieg? e?e?/?c5b?0?? trdsri?, <,x imfc! ??eg? ?h?+ g? !ew g!eg??l?+ g? p+1 ?"?i=1 m?trdgrai?, <,xa?n n?trdgrbi?, <,xa?n p?trdgrci?, <,xa?n t ,x5v?? g trdstr?, <,xcseli!?1?( !ew g!trdi0!6)? g trdmr?, <,xtrdbfci !
`trdbfdi!?0?(trdgrci
`trdgrdi04?? )? g trdoer1?, <,xeai ! ?ebi !
`eci!?0?(a?trdioai?trdiobi
`trdioci,xeg?)? g trdcri?, <,xcclr2  cclr0!?001b?( trdgrai!ew g!trdi5b?0000h?) ? g trdocr?, <,xtoai !
`tobi!?0?( !ew g!!??eg??l?+ g)toci!?1?( !ew g!!??eg??h?+ g)? g trdiorai?, <,xioa2  ioa0!?011b?( trdgrai!ew g!trdioai ?,eg?)? g trdiorai?, <,xiob2  iob0!?010b?( trdgrbi!ew g!trdiobieg??h?+ g)? g trdiorci?, <,xioc3  ioc0!?1001b?( trdgrci!ew g!trdiocieg??l?+ g)? g trdiorci?, <,xiod3  ioc0!?1000b?(trdgrdi ?, <{
trdiobi6?,xeg?/u!6+!ew g!ck,x6?eg?)? g???? aud? ?eg?+ g? 0!6 aud? ?eg?+ g? e?e?/?c5b ?0??
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 328 2014.10.31 (2) trdgrci ? trdgrdi ? i=0 1 ?? ? trdgrci ? trdgrdi ?? trdioai ? trdiobi ??? ??? ? ? trdgrai ?? trdgrci ?? trdioai ? ? trdgrbi ?? trdgrdi ?? trdiobi ? 8-48 trdgrci trdgrdi ?? ? trdgrci ? trdgrdi ??? ? ? trdiorci ? ioj3 j=c d ? ?0? trdgrji ??? ? trdmr ? trdbfji ?0? ?? ? trdgrai ? trdgrci ????? trdgrbi ? trdgrdi ? ?? trd0 trdioc0 eg? {
!ew < trdgrc0 !ew g! ? trdiob0 eg? {
!ew < trdgrb0 trdiod0 eg? {
!ew < trdgrd0 !ew g! ? !ew g! ? n < rd0 trdioa1 eg? {
!ew < trdgra1 trd1 trdioc1 eg? {
!ew < trdgrc1 !ew g! ? !ew g! ? trdiob1 eg? {
!ew < trdgrb1 trdiod1 eg? {
!ew < trdgrd1 !ew g! ? !ew g! ? n < rd1 ioc 3=1 trdiorc0?, <,x iod 3=0 iod 3=1 trdiorc1?, <,x ioc 3=0 ioc 3=1 trdiorc1?, <,x iod 3=0 iod 3=1
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 329 2014.10.31 trdgrc1 trdgrdi ? trdioa1 ? trdiobi ?? ? 8-49 ? ? 8-49 trdgrc1 trdgrdi ? trdioa1 ? trdiobi ?? e?e?/?c5b?0?? m n p trdi?, <,x aud$d m+1 n+1 trdioaieg? q 0000h ffffh m?n p+1 p?q q+1 trdiobieg? m?trdgrai?, <,xa?n n?trdgrci?, <,xa?n p?trdgrbi?, <,xa?n q?trdgrdi ?, <,xa?n t ,x5v?? gtrdstr?, <,xcseli!?1?( !ew g!trdi0!6)? gtrdmr?, <,xtrdbfci!
`trdbfdi!?0?(trdgrci
`trdgrdi04?? )? gtrdoer1?, <,xeai!
`ebi!?0?(a?trdioai
`trdiobi,xeg?)? gtrdcri?, <,xcclr2cclr0!?001b?( trdgrai!ew g!trdi5b?0000h?)? gtrdocr?, <,xtoai!
`tobi!?0?( !ew g!!??eg??l?+ g )? gtrdiorai?, <,xioa2ioa0!?011b?( trdgrai!ew g!trdioai ?,eg?)? gtrdiorai?, <,xiob2iob0!?011b?( trdgrbi!ew g!trdiobi ?,eg?)? gtrdiorci?, <,xioc3ioc0!?0011b?( trdgrci!ew g!trdioai ?,eg?)? gtrdiorci?, <,xiod3iod0 !?0011b?( trdgrdi!ew g!trdiobi ?,eg?)? ?"?i=1 !ew g! ?,eg?? ??eg? ?l?+ g? !ew g! ?,eg?? trdsri?, <,x imfa! trdsri?, <,x imfc! e?e?/?c5b?0?? ??eg? ?l?+ g? trdsri?, <,x imfb! trdsri?, <,x imfd! e?e?/?c5b?0?? e?e?/?c5b?0?? k
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 330 2014.10.31 8.4.9 pwm pwm ???? rdi i=0 1 3 ?? pwm ?? rd0 ?? rd1 ? 6 ?? pwm ? trdioji j=b c d trdgrji ?????? pwm ? ??? ??? pwm ??? trdgrai ? trdgrai ? ?? pwm ????? ? 8-50 ? 8-13 ? pwm ? ? 8-51 ? 8-52 ? ? 8-50 pwm ??? 0 1 0argdrt 0afmi fvo klcdrt 0drt f klc 2/ f klc 4/ f klc 8/ f klc 23/ 0tratst :0 :1 klct s0 gekc ? 1gekc :b00 :b10 :b01 0lesc :0 : 1 0cfbdrt 0crgdrt : 0 0crgdrt :1 0 eg9 ptni/731p optdrt :0 :1 0ebocle :0 :1 1ebocle :0 :1 stuhsdrt :0 :1 0coidrt/61p 0crgdrt 0cfmi 0be :0 :1 51p 51mp cfd ? dfd :b00 :b10 :b01 :b11 51mop 0de :0 :1 41p 41mp afd ? bfd :b0 0 :b10 :b01 :b11 41mop 0doidrt/4 1p 0bfmi 0dfmi 0afmi afmi.0rsdrt aeimi.0reidrt 0bfmi bfmi.0rsdrt b eimi.0reidrt 0cfmi cfmi.0rsdrt ceim i.0reidrt 0dfmi dfmi.0rsdrt deimi.0reidrt fvo fvo.0rsdrt eivo.0reidrt 0drttni f klc f ocoh 0brgdrt 0dfbdrt 0drgdrt :0 0drgdrt :1 0drgdrt clop :0 :1 0ce :0 :1 61p 61cmp 61mp 0bnep ? 1bnep :b00 :b10 :b01 :b11 0boidrt/51p :0 :1 0cot blop :0 :1 :0 :1 0bot dlop :0 :1 :0 :1 0dot cclr2 cclr0 b000 b100 b110 cnysdrt :0 :1 1drt b000 b100 b0 1 0 b110 b001 b101 tck2tck0 0: eg9 1: eg9y eynm+8v(000c2h) ,xfrqsel4 e?"? {
f?js eg9 t "? ?l!"? ?e?"? 0!6aud ??aud aud,xey? aud <#l8 ? ?e?{
+ c? ?e? ? ?e?{
+ c? ?e? ? n `trdgra0!ew g!0!6aud 4?4aud n < ) !ew+ c? !ew g! ? ( m2y+ g?z ) !ew+ c? !ew g! ? ( m2y+ g?z ) !ew+ c? !ew g! ? ( m2y+ g?z ) !ew+ c? y+ g ?l? + geg? y+ g ?h? + geg? y+ g ?l? + geg? y+ g ?h? + geg? y+ g ?l? + geg? y+ g ?h? + geg? ??eg?m2y+ g ??eg?y+ g ??eg?m2y+ g ??eg?y+ g ??eg?m2y+ g ??eg?y+ g eg?+ g{
eg?+ g{
eg?+ g{
a?eg? /u!6eg? a?eg? /u!6eg? a?eg? /u!6eg? s* 
t!6eg? hi-z 
t!6eg? ?l? + g 
t!6eg? ?h? + g 
t!6 {
s* 
t!6eg? hi-z 
t!6eg? ?l? + g 
t!6eg? ?h? + g 
t!6 {
s* 
t!6eg? hi-z 
t!6eg? ?l? + g 
t!6eg? ?h? + g 
t!6 {
trd0
` trd1 (0?e> trd0
` trd1 !9e> ,xaud <#l8 ? *b
t!6,x elc _eg9 0 *b
t!6,x elc _eg9 1 /u!6
t!6 a?
t!6 /u!6
t!6 a?
t!6 /u!6
t!6 a?
t!6 t *
t!6 *
t!6
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 331 2014.10.31 8-13 pwm ?? ? ???? 000c2h frqsel4 ? ?1? ?? f hoco ?? f hoco ?? rd ? ???? 1 per1 bit4 trd0en ? f clk ?? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? ? i=0 1 j=b c d h=a b c d ? ? f hoco ? f clk f clk /2 f clk /4 f clk /8 f clk /32 pwm pwm ?1/fk (m+1) ?? 1/fk (m?n) ?? 1/fk (n+1) fk ?? m trdgrai ??? n trdgrji ??? ? trdstr ? tstarti ? ?1? ? ?? ? trdstr ? cseli ? ?1? ? tstarti ? ?0? ?? pwm ????? ? trdstr ? cseli ? ?0? ? trdgrai ?????? pwm ?????? ?? ? ?? trdi ? trdgrhi ?? ? trdi trdioa1 ? i/o ? trdiob0 trdioc0 trdiod0 trdiob1 trdioc1 trdiod1 ? i/o ?? pwm ?? intp0 ? ????? i/o ?? intp0 ? ? trdi ??? ? trdi ? ? ? ?? rdi 1 3 pwm ?? trdiobi trd i oci trdiodi 1 ? ? ?? ? ???? ? ? ?8.4.3 ? ? ? ?8.4.2 ? ? ????? ?8.4.4 ??? ? m+1 n+1 m?n (y+ g?l?,x??)
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 332 2014.10.31 (1) ? 8-51 pwm ? m n p trdi?, <,x aud$d m+1 n+1 trdiocieg? q m?n p+1 m?p m?q q+1 trdiodieg? m?trdgrai?, <,xa?n n?trdgrbi?, <,xa?n p?trdgrci?, <,xa?n q?trdgrdi?, <,xa?n ?l?+ g ?h?+ gy ?h?+ g ?l?+ gy !ew g!! ??eg??l?+ g? !ew g!! ??eg??l?+ g? e?e?/?c5b?0?? e?e?/?c5b?0?? e?e?/?c5b?0?? e?e?/?c5b?0?? trdiobieg? trdsri?, <,x imfa! trdsri?, <,x imfb! trdsri?, <,x imfc! trdsri?, <,x imfd! ?"?i=0?1 t ,x5v?? gtrdmr?, <,xtrdbfci!
`trdbfdi!?0?(trdgrci?, <
`trdgrdi?, <04?? )? gtrdoer1?, <,xebi!?eci!
`edi!?0?(a?trdiobi?trdioci
`trdiodi,xeg?)? gtrdocr?, <,xtobi!
`toci!?0?(+ g)todi!?1?(y+ g)? gtrdpocri?, <,xpolb!?1?(?h?+ gy)polc!
`pold!?0?(?l?+ gy)? !ew g!! ??eg??h?+ g? k ?h?+ g 0000h
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 333 2014.10.31 ? 8-52 pwm ? ??? 0% 100% e?e?/?c m? m p q trdi ?, <,x n m?trdgrai?, <,xa?n e?e?/?c5b?0?? e?e?/?c m? 0000h q 40n!0% trdgrbi?, < trdsri?, <,x imfa! ?1? trdsri?, <,x imfb! trdstr?, <,x tstarti ! e?e?/?c5b?0?? trdiobieg? p (p>m) n m p trdi ?, <,x n 0000h trdgrbi ?, < trdsri ?, <,x imfa ! ?1? trdsri ?, <,x imfb ! trdstr ?, <,x tstarti ! e?e?/?c5b?0?? trdiobieg? p nm ?"?i=0?1 t ,x5v?? gtrdoer1?, <,xebi!?0?(a?trdiobieg?)? gtrdpocri?, <,xpolb!?0?(?l?+ gy)?  *trdgrbi?, <,x!ew g! 1trdiobieg??l?+ g? vptrdgrai?, <
`trdgrbi?, <  *!ew g! trdgrbi?, <,x!ew g!? trdiobieg??l?+ g( ?)? trdgrbi?, R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 334 2014.10.31 8.4.10 ? pwm ?? 3 3 6 ?? pwm ????? ? pwm ?????? ? 8-53 ? 8-54 ?? pwm ??? 8- 14 ? ??? 0% 100% pwm ? ? ? 8-52 pwm ? ??? 0% 100% ? ? 8-53 ? pwm ???? 0 1 0argdrt 0afmi fvo klcdrt 0drt f klc 2/ f klc 4/ f klc 8/ f klc 23/ 0tratst :0 :1 klct s0 gekc ? 1gekc :b00 :b10 :b01 0lesc :0 :1 0slo :0 :1 0cfbdrt 0 4???, < crgdrt :1 0ce :0 :1 61p p0 eg9 tni/731p optdrt :0 :1 0ebocle :0 :1 1ebocle :0 :1 stuhsdrt :0 :1 0coidrt/61p 61cmp 61mp cfd ? dfd :b00 :b10 :b01 :b11 0crgdrt 0brgdrt 0bfmi 0slo :0 :1 0dfbdrt 0 4???, < drgdrt :1 0be :0 :1 51p 51mp 0bnep ? 1bnep :b00 :b10 :b01 :b11 0drgdrt 51mop 0 boidrt/51p 1slo :0 :1 0de :0 :1 41p 41mp afd ? bfd :b00 :b10 :b01 :b11 41mop 0doidrt/41p 1argdrt 1afmi 0slo :0 :1 1cfbdrt 1 4???, < crgdrt :1 1ae :0 :1 31p 31mp 0kcfd ? 1kcfd :b00 :b10 :b01 :b11 1crgdrt 31mop 1aoidrt/31p 1slo :0 :1 1ce :0 :1 21p cfd ? dfd :b00 :b10 :b01 :b11 1coidrt/21p pmc13 21cmp 21mp 1brgdrt 1bfmi 0slo :0 :1 1dfbdrt 1 4???, < drgdrt :1 1be :0 :1 11p 11mp 0bnep ? 1bnep :b00 :b10 :b01 :b11 1drgdrt 11mop 1boidrt/11p 1slo :0 :1 1de :0 :1 01p 01mp afd ? bfd :b00 :b10 :b01 :b11 01mop 1doidrt/01p 0afmi afmi.0rsdrt aeimi.0reidr t 0bfmi bfmi.0rsdrt beimi.0reidrt 0cfmi cfmi.0rsdrt ceimi.0reidrt 0dfmi dfmi.0rsdrt deimi.0reidrt fvo fvo.0rsdrt eivo.0reidrt 0drttni f klc f ocoh 1drttni 1bfmi bfmi.1rsdrt beimi.1reidrt 1afmi afmi.1rsdrt aeimi.1reidrt b000 b100 b010 b110 b001 b101 tck2tck0 eynm+8v(000c2h) ,xfrqsel4 e?"? {
f?js eg9 t "? ?l!"? ?e?"? 0!6aud ??aud aud,xey? 0: eg9 1: eg9y ?e?{
+ c? ?e? ? ?e?{
+ c? ?e? ? ?e?{
+ c? ?e? ? ?e?{
+ c? ?e? ? n `trdgra0!ew g!0!6aud 4?4aud t$v ? !ew g! ? (
< ) !ew+ c? !ew+ c? !ew g! ? ( y+ g?z ) !ew+ c? !ew g! ? ( y+ g?z ) !ew+ c? !ew g! ? ( y+ g?z ) *b
t!6,x elc _eg9 0 *b
t!6,x elc _eg9 1 /u!6
t!6 a?
t!6 /u!6
t!6 a?
t!6 /u!6
t!6 a?
t!6 t *
t!6 *
t!6 ??eg? ?h? + g ??eg? ?l? + g eg?+ g {
a?eg? /u!6eg? ??eg? ?h? + g  y+ g ?l? + geg? ??eg? ?l? + g  y+ g ?h? + geg? eg?+ g {
a?eg? /u!6eg? ??eg? ?h? + g  y+ g ?l? + geg? ??eg? ?l? + g  y+ g ?h? + geg? eg?+ g {
a?eg? /u!6eg? ??eg? ?h? + g  y+ g ?l? + geg? ??eg? ?l? + g  y+ g ?h? + geg? eg?+ g {
a?eg? /u!6eg? ??eg? ?h? + g  y+ g ?l? + geg? ??eg? ?l? + g  y+ g ?h? + geg? eg?+ g {
a?eg? /u!6eg? ??eg? ?h? + g  y+ g ?l? + geg? ??eg? ?l? + g  y+ g ?h? + geg? eg?+ g {
a?eg? /u!6eg? ??eg? ?h? + g  y+ g ?l? + geg? ??eg? ?l? + g  y+ g ?h? + geg? eg?+ g {
a?eg? /u!6eg? ? eg? hi-z 
t!6 {

t!6,x6?(?? eg? ?l? + g eg? ?h? + g ? eg? hi-z 
t!6 {

t!6,x6?(?? eg? ?l? + g eg? ?h? + g ? eg? hi-z 
t!6 {

t!6,x6?(?? eg? ?l? + g eg? ?h? + g ? eg? hi-z 
t!6 {

t!6,x6?(?? eg? ?l? + g eg? ?h? + g ? eg? hi-z 
t!6 {

t!6,x6?(?? eg? ?l? + g eg? ?h? + g ? eg? hi-z 
t!6 {

t!6,x6?(?? eg? ?l? + g eg? ?h? + g ? eg? hi-z 
t!6 {

t!6,x6?(?? eg? ?l? + g eg? ?h? + g
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 335 2014.10.31 8-14 ? pwm ??? ? ???? 000c2h frqsel4 ? ?1? ?? f hoco ?? f hoco ?? rd ? ???? 1 per1 bit4 trd0en ? f clk ?? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? ? j=a b c d ? ? f hoco ? f clk f clk /2 f clk /4 f clk /8 f clk /32 trd0 ? ? trd1 pwm pwm ?1/fk (m+1) ?? 1/fk (m?n) ?? 1/fk (n+1) fk ?? m trdgra0 ??? n trdgrb0 ??? pwm 1 trdgra1 ??? pwm 2 trdgrb1 ??? pwm 3 ? trdstr ? tstart0 ? ?1? ? ?? ? trdstr ? csel0 ? ?1? ? tstart0 ? ?0? ?? pwm trdfcr ? ols0 ols1 ???? ? trdstr ? csel0 ? ?0? ? trdgra0 ?????? pwm trdfcr ? ols0 ols1 ???? ?? ? ?? trd0 ? trdgrj0 trdgra1 trdgrb1 ?? ? t rd0 trdiob0 ? pwm 1 trdiod0 ? pwm 1 ? trdioa1 ? pwm 2 trdioc1 ? pwm 2 ? trdiob1 ? pwm 3 trdiod1 ? pwm 3 ? trdioc0 ? ? pwm ? intp0 ? ????? i/o ?? intp0 ? ? trd0 ??? ? trd0 ? ? ? ????? ? ?8.4.2 ? ? ????? ?8.4.4 ??? ? m+1 !7, n+1 (y+ g?l?,x??) ?, m?n
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 336 2014.10.31 (1) ? 8-54 ? pwm ?? m n p trd0?, <,x aud$d m+1 trdiod0 eg? q m?n trdiod1 eg? m?trdgra0?, <,xa?n n?trdgrb0?, <,xa?n p?trdgra1?, <,xa?n q?trdgrb1?, <,xa?n ?l?+ gy e?e?/?c5b?0?? 4?? e> 4???, < e?*?, <,x?e?? e?e?/?c5b?0?? trdiob0 eg? trdsr0 ?, <,x imfa ! trdsr0 ?, <,x imfb ! trdsr1 ?, <,x imfa ! trdsr1 ?, <,x imfb ! trdstr ?, <,x tstarti ! n+1 trdioc1 eg? trdioa1 eg? m?q m?p trdiob1 eg? trdioc0 eg? p+1 q+1 e?e?/?c5b?0?? ?"?i=0?1 t ,x5v?? trdfcr?, <,xols1!
`ols0!?0?(??eg??h?+ g?l?+ gy)? 0000h ??eg??h?+ g? ??eg? ?l?+ g? 4?? e> 4???, < e?*?, <,x?e?? e?e?/?c5b?0?? k ?l?+ gy
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 337 2014.10.31 8.4.11 pwm ?? 3 3 6 ?? pwm ????? pwm ???? ? 8-55 ? pwm ??? 8-15 ? pwm ??? ?? ? 8-56 ? 8-57 ? ? 8-55 pwm ???? 0 1 1drt 0argdrt 0afmi fvo klcdrt 0drt fdu f klc 2/ f klc 4/ f klc 8/ f klc 23/ 0tratst :0 :1 klct s0 gekc ? 1gekc :b00 :b10 :b01 0lesc :0 :1 0slo :0 :1 0ce :0 :1 61p p0eg9 tni/731p optdrt :0 :1 0ebocle :0 :1 1ebocle :0 :1 stuhsdrt :0 :1 0coidrt/61p 61cmp 61mp cfd ? dfd :b00 :b10 :b01 :b11 0brgdrt 0 bfmi 0slo :0 :1 0dfbdrt 04???, < drgdrt :1 0be :0 :1 51p 51mp 0bnep ? 1bnep :b00 : b10 :b01 :b11 0drgdrt 01 11 51mop 0boidrt/51p 0 ,1dmc 0dfmi 1slo :0 :1 0de :0 :1 41p 41mp afd ? bfd :b00 :b10 :b01 :b11 41mop 0doidrt/41p 1argdrt 1afmi 0slo :0 :1 1cfbdrt 1 4???, < crgdrt :1 1ae :0 :1 31p 31mp 0kcfd ? 1kcfd :b00 :b10 :b01 :b11 1crgdrt 01 11 31mop 1aoidrt/31p 0 ,1dmc 1cfmi 1slo :0 :1 1ce :0 :1 21p cfd ? dfd :b00 :b10 :b01 :b11 1coidrt/21p pmc13 21cmp 21mp b1rgdr t 1bfmi 0slo :0 :1 1dfbdrt 1 4???, < drgdrt :1 1be :0 :1 11p 11mp 0bnep ? 1bnep :b00 :b10 :b01 :b11 1drgdrt 01 11 11mop 1boidrt/11p 0 ,1dmc 1dfmi 1slo :0 :1 1de :0 :1 01p 01mp afd ? bfd :b00 :b10 :b01 :b11 01mop 1doidrt/01p 0afmi afmi.0rsdrt aeimi.0reidrt 0b fmi bfmi.0rsdrt beimi.0reidrt 0dfmi dfmi.0rsdrt deimi.0reidrt fvo fvo.0rsdrt eivo.0reidrt 1afmi afmi.1rsdrt aeimi.1reidrt 1bfmi bfmi.1rsdrt beimi.1reidrt 1cfmi cfmi.1rsdrt ceimi.1reidrt 1df mi dfmi.1rsdrt deimi.1reidrt fdu fdu.1rsdrt eidu.1reidrt 1drttni 0drttni f klc f ocoh b000 b100 b010 b110 b001 b101 tck2tck0 eynm+8v(000c2h) ,xfrqsel4 e?"? {
f?js eg9 t "? ?l!"? ?e?"? 0!6aud ??aud aud,xey? e?re? aud{
0: eg9 1: eg9y ?e?{
+ c? ?e? ? ?e?{
+ c? ?e? ? ?e?{
+ c? ?e? ? n `trdgra0!ew g!0!6aud 4?4aud ?$v ?
<,  ? ( ?,eg? ? ) !ew+ c? !ew+ c? !ew g! ? ( ?,eg? ? ) !ew+ c? !ew g! ? ( ?,eg? ? ) !ew+ c? !ew g! ? ( ?,eg? ? ) !ew+ c? !ew g! ? ( ?,eg? ? ) !ew+ c? !ew g! ? ( ?,eg? ? ) !ew+ c? !ew g! ? ( ?,eg? ? )
<,  ? (  e?aud ) ?$v ? (  e?raud ) *b
t!6,x elc_eg90 *b
t!6,x elc_eg91 /u!6
t!6 a?
t!6 /u!6
t!6 a?
t!6 /u!6
t!6 a?
t!6 t *
t!6 *
t!6 ??eg??h?+ g ??eg??l?+ g eg?+ g {
eg?+ g {
a?eg? /u!6eg? a?eg? /u!6eg? ??eg??h?+ gy+ g?l?+ geg? ??eg??l?+ gy+ g?h?+ geg? eg?+ g {
a?eg? /u!6eg? ??eg??h?+ gy+ g?l?+ geg? ??eg??l?+ gy+ g?h?+ geg? eg?+ g {
a?eg? /u!6eg? ??eg??h?+ gy+ g?l?+ geg? ??eg??l?+ gy+ g?h?+ geg? eg?+ g {
a?eg? /u!6eg? ??eg??h?+ gy+ g?l?+ geg? ??eg??l?+ gy+ g?h?+ geg? eg?+ g {
a?eg? /u!6eg? ??eg??h?+ gy+ g?l?+ geg? ??eg??l?+ gy+ g?h?+ geg? eg?+ g {
a?eg? /u!6eg? ??eg??h?+ gy+ g?l?+ geg? ??eg??l?+ gy+ g?h?+ geg? ? eg?hi-z 
t!6 {

t!6,x6?(?? eg??l?+ g eg??h? + g ? eg?hi-z 
t!6 {

t!6,x6?(?? eg??l?+ g eg??h? + g ? eg?hi-z 
t!6 {

t!6,x6?(?? eg??l?+ g eg??h? + g eg??l?+ g eg??h? + g eg??l?+ g eg??h? + g ? eg?hi-z 
t!6 {

t!6,x6?(?? ? eg?hi-z 
t!6 {

t!6,x6?(?? ? eg?hi-z 
t!6 {

t!6,x6?(?? eg??l?+ g eg??h? + g eg??l?+ g eg??h? + g ? eg?hi-z 
t!6 {

t!6,x6?(??
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 338 2014.10.31 8-15 pwm ??? ? 1. ???? 000c2h frqsel4 ? ?1? ?? f hoco ?? f hoco ?? rd ? ???? 1 per1 bit4 trd0en ? f clk ?? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? 2. ?? pwm ??? ? i=0 1 j=a b c d ? ? f hoco ? 1 f clk f clk /2 f clk /4 f clk /8 f clk /32 trdcr0 ? tck0 tck2 trdcr1 ? tck0 tck2 ? ?? ??? ?? ?? trd0 ? trdgra0 ???? trd0 trd1 ? ????? trd1 ? ?0000h? ? ?ffffh? trd0 trd1 ?? pwm pwm ?1/fk (m+2?p) 2 ? 2 ??p ?? 1/fk (m?n?p+1) 2 ?? 1/fk (n+1?p) 2 fk ?? m trdgra0 ??? n trdgrb0 ??? pwm 1 trdgra1 ??? pwm 2 trdgrb1 ??? pwm 3 p trd0 ??? ? trdstr ? tstart0 tstart1 ? ?1? ? ?? trdstr ? csel0 ? ?1? ? tstart0 tstart1 ? ?0? ?? pwm trdfcr ? ols0 ols1 ???? ?? ? ?? trdi ? trdgrji ?? ? trd1 trdiob0 ? pwm 1 trdiod0 ? pwm 1 ? trdioa1 ? pwm 2 trdioc 1 pwm 2 ? trdiob1 ? pwm 3 trdiod1 ? pwm 3 ? trdioc0 ? ? 1/2 pwm ? intp0 ? ????? i/o ?? intp0 ? ? trdi ??? ? trdi ? ? ? ????? ?8.4.4 ??? ? ? ????? ? ???? n+1 !7, (y+ g?l?,x??) ?, m+2?p n+1?p p m?p?n+1
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 339 2014.10.31 (1) ? 8-56 pwm ??? trdi ?, <,x trdiod0 eg? 0000h trdgra0 ?, <,x trdgrb0 ?, <,x trdgra1 ?, <,x trdgrb1 ?, <,x trdiob0 eg? trdioc1 eg? trdioa1 eg? trdiod1 eg? trdiob1 eg? trdioc0 eg? trd0?, <,x trd1 ?, <,x ?"?i=0?1 k
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 340 2014.10.31 ? 8-57 pwm ?? m n trdi ?, <,x aud$d m+2?p trdiod0eg? p m?trdgra0?, <,xa?n n?trdgrb0?, <,xa?n p?trd0?, <,xa?n e?e?/?c5b?0?? trdiob0eg? trdsr0?, <,x imfa ! trdgrb0?, < trdstr ?, <,x tstart0!? tstart1! trdioc0eg? p 0000h m+1 n+1 n+1?p p n+1?p (m?p?n+1)2 !7,y + g,x?z !o k (n+1?p)2 ?,y+ g,x?z ??ffffh?? trdsr1?, <,x udf ! n trdgrd0?, < n ??tdb e?e?/?c ? trd1 ?, <,x trd0 ?, <,x ?"?cmd0?cmd1?trdfcr?, <,x! i=0?1 t ,x5v?? trdfcr?, <,xols1 !
`ols0!?0?(!7,
` ?,f???eg??h?+ g?l?+ gy)? ?l?+ gy m?p?n+1 e?e?/?c5b?0?? trdsr0 ?, <,x imfb ! n ?e?(cmd1!
`cmd0!?10b?,x??) ?e?(cmd1!
`cmd0!?11b?,x??) e?e?/?c5b?0?? ??eg??h?+ g? ??eg??h?+ g? k
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 341 2014.10.31 (2) ??? ? trdgrd0 trdgrc1 trdgrd1 ? trdgrb0 trdgra1 trdgrb1 ?? trdfcr ? cmd1 cmd0 ? ?10b? trd1 ??? cmd1 cmd0 ? ?11b? trd0 ? trdgra0 ??????
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 342 2014.10.31 8.5 ? rd ? rd ?? rd0 ?? rd1 ? 6 ?? rdi i=0 1 ??? rd ??? 8-16 ?? rd ??? ? 8-58 ? 8-16 ? rd ??? ? 8-58 ? rd ??? ?? rd ?? 1 ? rd ?? ?? ? trdsri ?? ?1? ?? trdieri ?? ?1? ?? if2h ? trdifi ?? ?1? ?? ? trdieri ??? ?1? ?? trdsri ???? ? ??? trdsri ????? ?0? ??? ?0? ? rd ??? ? rd ? ? ? ?? ? ??? ? ? rd0 trdsr0 trdier0 trdif0 if2h trdmk0 mk2h trdpr00 pr02h trdpr10 pr12h ? rd1 trdsr1 trdier1 trdif1 if2h trdmk1 mk2h trdpr01 pr02h trdpr11 pr12h n R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 343 2014.10.31 ? ?? rd ?????3? ? ??? ? ?0? ?? trdieri ?????? (a) (c) ?? ?0? (a) ?? rd ? i trdieri ?00h? ?????? ?0? (b) ? rd ? i trdieri ?1? ????? ??? ?0? ???? ?0? imiea ovie ?? imieb ????? imfb ? ? rd ? i trdieri ?? ? ? rd ??? i trdsri ?? (c) ? rd ? i trdieri ?1? ????? ??? ?1? ?????????? ?0? imiea ?? imieb ?? ? ?? imfb ? ? rd ? i trdieri ?? ? ? rd ??? i trdsri ?? trdieri ? ? ? ovie imied imiec imieb imiea 00010001 ? ? trdsri ? ? udf ovf imfd imfc imfb imfa 00001010 ?????? ovf imfa ? ?0? ? imfb ?0? trdieri ? ? ? ovie imied imiec imieb imiea 00010001 ? ? trdsri ? ? udf ovf imfd imfc imfb imfa 00001011 ?????? imfa ? ?1? ??? imfa imfb ?0?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 344 2014.10.31 8.6 ??? rd ?? 8.6.1 sfr ?? ??? rd ?? per1 ? trd0en ?1? trd0en ? ?0? ??? rd ????????? ????????? ???? trdelc ? trdmr ? trdpmr ? trdfcr ? trdoer1 ? trdoer2 ? trdpto trdocr ? trddfi ? trdcri ? trdiorai ? trdiorci ? trdpocri ? (1) trdstr ? ? ? 8 ??? trdstr ? ? trdstr ? cseli i=0 1? ?0? trdi ? trdgrai ?????? ?? tstarti ? ?0? ????? tstarti ?? ?? trdgrai ???? tstarti ?? ?0? ?? ? trdstr ??? cseli ? ?0? 2? cseli ? ?1? ? tstarti ? ?0? ???? tstarti ? ?1? ??? ?????? cs eli ?1? ts tarti ? ?0? ??? ? 1 ?? cseli tstarti ? ?1? ?0? ??? ? trdioji ? j=a b c d ?? rd ?????? 8-17 ? 8-17 ??? trdioji j=a b c d ? ? ?? trdioa0 ? ? i=0 1 j=a b c d (2) trddfi ? i=0 1 ? trddfi ? dfck0 dfck1 ? (3) trdi ? i=0 1 trdi ??? ?0000h? ? trdi ???? ??? ??? trdioji ?? cseli ? ?1? ?? tstarti ? ?0? ?? ???? ?? rd ? pwm ? ??? pwm ?? trdfcr ? ols0 ols1 ???? cseli ? ?0? ? trdi ? trdgrai ? ????? ????? ?? rd ? pwm ???? pwm ?? trdfcr ? ols0 ols1 ????
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 345 2014.10.31 8.6.2 ?? ? ?????????? tstart0 tstart1 ?0? ? ? tstart0 tstart1 ?0? ? ?1? ?? trdif0 trdif1 ?0? ? ? 16 ? ? 8.6.3 ? ? ????? [ ] (1) trdstr ? tstarti i=0 1 ?0? ?? (2) trdcri ? tck0 tck2 ? ?? f hoco 48 mhz ?? rd ????? 1 per1 bit4 trd0en ? f clk ?? f ih ? f clk ? f ih ???? 1 per1 bit4 trd0en ? 8.6.4 ?? ? ????? 3 ? rd ?? ? ???? trdioji j=a b c d ?? 2 3 ? rd ? f clk ?? trdi ??? trdgrji ? ?? ? ???? trdstr ? tstarti ? ?0? ??? trdioji trdiora0 trdiora1 trdiorc0 trdiorc1 ? ioj0 ioj1 ??? trdioji ??? i=0 1 j=a b c d ? ?? trdioa0 ?
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 346 2014.10.31 8.6.5 trdioa1 trdiobi trdioci trdiodi ?? i=0 1 ? trdioa1 trdiobi trdioci trdiodi ?? i/o ??? ? ? trdioa1 trdiobi trdioci trdiodi ??2? [ ] (1) ?????? (2) trdioa1 trdiobi trdioci trdiodi ? trdoer1 ? (3) trdioa1 trdiobi trdioci trdiodi ?????? ?0? (4) trdioa1 trdiobi trdioci trdiodi ??????????? trdioa1 trdiobi trdioci trdiodi ?? (5) ? tstart0 tstart1 ?1? ? ? trdioa1 trdiobi trdioci trdiodi ?????????? ????2? [ ] (1) trdioa1 trdiobi trdioci trdiodi ??????????? trdioa1 trdi obi trdi oci trdiodi ??? (2) ????? (3) ? tstart0 tstart1 ?1? ? ? trdioa1 trdiobi trdioci trdiodi ???????? ???????? 2 cpu ??? ???? 5 ?????? 8.6.6 ? pwm ?? ? ??? ols0=ols1 ? ? ???? pwm ????2? [ ] (1) trdstr ? tstart0 ?0? ?? (2) trdfcr ? cmd1 cmd0 ?00b? ??? pwm ?? (3) cmd1 cmd0 ?01b? ? pwm ?? (4) ?? rd ??
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 347 2014.10.31 8.6.7 pwm ?? ? ??? ols0=ols1 ? ? ? trdfcr ? cmd0 cmd1 ??2? [ s?? pwm ?? ?? pwm ???? ???? ] (1) trdstr ? tstart0 tstart1 ?0? ?? (2) trdfcr ? cmd1 cmd0 ?00b? ??? pwm ?? (3) cmd1 cmd0 ?10b? ?11b? pwm ?? (4) ?? rd ?? [ s? pwm ?? ] (1) trdstr ? tstart0 tstart1 ?0? ?? (2) cmd1 cmd0 ?00b? ??? pwm ?? ? ? trdgra0 trdgrb0 trdgra1 trdgrb1 ? ? pwm ?? trdgrd0 trdgrc1 trdgrd1 ?? trdgrb0 trdgra1 trdgrb 1 ? trdgrd0 trdgrc1 trdgrd1 ?? trdbfd0 trdbfc1 trdbfd1 ?0? ??????? trdbfd0 trdbfc1 trdbfd1 ?1? ? ? pwm ? ? trdgra0 ???? m trd0 ?????? m?1 m m+1 m m?1 ? ? m m+1 ?? trdsri ? imfa ? ?1? trdfcr ? cmd1 cmd0 ? ?11b? pwm ?? trd0 trdgra0 ??????? ? trdgrd0 trdgrc1 trdgrd1 ???? trdgrb0 trdgra1 trdgrb1 ? m+1 m m?1 ??? imfa ???? trdgra0 ?? ? 8-59 pwm ?? trd0 trdgra0 ????  ? trdsr0?, <,x imfa! 4???, <?e?db? trdgrb0?, < trdgra1?, < trdgrb1?, < trd0 ?, <,x aud trdgra0?, <,x a?n m m+1 4???, <?e?db? e-trdfcr?, <,xcmd1 !
`cmd0! ?11b? ( trd0
`trdgra0!ew g!e > 4???, <e?*?, <,x?e?),x??? k e?e?/?c5b?0??
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 348 2014.10.31 ? ????? trd1 1 0 ffffh 0 1 ? ? 1 0 ffffh ??? trdsri ? udf ? ?1? trdfcr ? cmd1 cmd0 ? ?10b? pwm ? ? trd1 ? ? ?? ? trdgrd0 trdgrc1 trdgrd1 ???? trdgrb0 trdgra1 trdgrb1 ? ffffh 0 1 ???? trdgrb0 ?? trdsri ? ovf ? ? 8-60 pwm ?? trd1 ? ? ? trdfcr ? cmd0 cmd1 ??????????? 0% ??? 100% ??? cmd0 cmd1 ?????? ? ? trdgra0 ?? 0% ??? trd1 ???? ???? 0001h ?? trdgra0 ??? trd1 ? 1 ??????? cmd0 cmd1 ??? ? ??????? ?ffffh? ?? 0% ????? 0% ????? ????? trdgra0 ??  ? trdsr1 ?, <,x udf! 4???, <?e?db? trdgrb0?, < trdgra1?, < trdgrb1?, < trd1 ?, <,x aud 1 4???, <?e?db? e-trdfcr?, <,x cmd1!
`cmd0! ?10b? ( trd1 *?$ve> 4???, < e?*?, <,x?e?),x??? trdsr1 ?, <,x ovf! 0 ffffh k e?e?/?c5b ?0?? 0
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 349 2014.10.31 ? 8-61 pwm ????? trdgra0 ??? ????? trdgra0 ?? trd1 ? ??????? 100% ???? 0 ???? cmd0 ??? ??????? trd0 ???? (trdgra0 ? ? trd0 ?? ) cmd0 ??? trd1 ?? ???? pwm pwm ? cmd0 ???? ????? ?????? ?ffffh? ? 100% ???? 0 ????? ? 100% ???? 0% ???? 0% ???? 100% ??? 0000h trdgrd0 ?, < trdiob0eg? n3 n2 m+1 n3 n2 n1 n2 n1 n3 n2 n2 n1 n1 trdgrb0 ?, < ?e? ?e? ?e? ?e? $c?n3m !8 trd1?, < ?$ve> db ?e?? !8 a11 ?$ c?n2?m !8 trd1 ?, < ?$ve> db ?e?? trdiod0eg? ?"?m?trdgra0 ?, <,xa?n t ,x5v?? gtrdfcr?, <,xcmd1!
`cmd0!?11b?(f>9pwm?? trd0?, <
`trdgra0?, < !ew g!?e?4???, <,xdb)? gtrdfcr?, <,xols0!
`ols1!f??1?(!7,
` ?,f??h?+ gy)? trd0aud trd1 aud e?e?cmd1!
`cmd0! a?n,xce> db?e?? e?e?cmd1!
`cmd0! a?n,xce> db?e?? trdi?, <,x k
R7F0C01592esn r7f0c01692esn 8 ? rd r01uh0453cj0210 rev.2.10 350 2014.10.31 ??? ?0000h? 100% ??? trd0 trdgra0 ?????? ???? 0001h ?? trdgra0 ?? ? trd0 trdgra0 ? 1 ????????? cmd0 cmd1 ???? ? 8-62 pwm ?????? ?0000h? ? ? ?0000h? trd0 ? trdgra0 ?????? ?????? 0% ???? 100 ???? cmd0 ? ? ??????? trd0 ???? (trdgra0 ? ? trd0 ?? ) cmd0 ??? trd1 ?? ???? pwm pwm ? cmd0 ???? ????? ?? 0% ???? 100% ???? 100% ???? 0% ??? 0000h trdgrd0?, < trdiob0eg? n1 m+1 n2 n1 0000h n1 0000h n1 n 1 n2 trdgrb0?, < ?e? ?e? ?e? trdgrd0?, < ,xy??0000h? !8 trd0
` trdgra0!ew g!e> db?e?? !8 a11 ?$c? 0001h0n1?m !8 trd0
` trdgra0!ew g!e> db ?e?? e?e?cmd1!
`cmd0! a?n,xce> db?e?? trdiod0eg? ?"?m?trdgra0 ?, <,xa?n t ,x5v?? gtrdfcr?, <,xcmd1!
`cmd0! ?10b?(f>9pwm ?? trd1?, <?$v?e?4???, <,xdb)? gtrdfcr?, <,xols0!
`ols1!f? ?1?( !7,
` ?,f? ?h?+ gy)? trd0 aud trd1 aud ?e? trdi?, <,x k e?e?cmd1!
`cmd0! a?n,xce> db?e??
R7F0C01592esn r7f0c01692esn 9 12 ? r01uh0453cj0210 rev.2.10 351 2014.10.31 9 12 ? 9.1 12 ?? ?? intit ? stop ???? a/d ? snooze ??? 9.2 12 ??? 12 ?? 9-1 12 ??? ? 9-1 12 ??? ? ? 12 ?? ? 1 per1 ????? osmc 12 ???? itmc e> ez??{
?, <(osmc) wutmm ck0 f il rinte itcmp11  itcmp0 12!klhn <,x {
?, <(itmc) ?a?" ? (intit) audjs aude> {
+ c? 12!aud < #l8 , yf?4? ey? <
R7F0C01592esn r7f0c01692esn 9 12 ? r01uh0453cj0210 rev.2.10 352 2014.10.31 9.3 12 ??? ??? 12 ? ? ? 1 per1 ? ????? osmc ?12 ???? itmc 9.3.1 ? 1 per1 per1 ??????????????????? ?? ?? 12 ??? bit7 tmkaen ?1? ? 1 8 ??? per1 ? ????????? ?00h? ? 9-2 ? 1 per1 ?? ? ? 12 ???????? osmc bit4 wutmmck0 ?1? ? ????????? tmkaen ?1? ? 1. ?? 12 ??? tmkaen ?1? tmkaen ? ?0? ? 12 ? ????????? ????? osmc ? 2. ?? ?0? bit1 3 ? f007ah 00h r/w per1 tmkaen ? pwmopen oacmpen trd0en 0 0 0 trj0en tmkaen ? ? 12 ???? 0 ????? ? 12 ??? sfr ?12 ???? 1 ??? ? ? 12 ??? sfr 7 6 5 4 3210
R7F0C01592esn r7f0c01692esn 9 12 ? r01uh0453cj0210 rev.2.10 353 2014.10.31 9.3.2 ????? osmc ? osmc ????? 12 ???? rj ?? ? 8 ??? osmc ? ????????? ?00h? ? 9-3 ????? osmc ?? ? 1. ? 12 ??? wutmmck0 ?1? 2. 12 ?? wutmmck0 ?0? ? ? bit0 3 bit5 7 ?0? ? f00f3h 00h r/w 76543210 osmc 0 0 0 wutmmck0 ? 1 2 0000 wutmmck0 ? 1 2 ???? 12 ????? rj ??? 0 ? ??? 12 ??? ? ???? rj ?? 1 ? ??? 12 ??? ? ???? rj ??
R7F0C01592esn r7f0c01692esn 9 12 ? r01uh0453cj0210 rev.2.10 354 2014.10.31 9.3.3 12 ???? itmc ? 12 ????????? ? 16 ??? itmc ? ????????? ?0fffh? ? 9-4 12 ??? itmc ?? ? 1. ? rinte ?1? ? ?0? ?????? intit ? rinte ? ?? ?0? ? ?1? ? itif ??? 2. rinte ???? rinte 1 ????????? halt ?? stop ??? ? rinte ????? 3. ??????? rinte ??????? rinte ??? ????? 1 ?????? 4. ? itcmp11 itcmp0 ?? rinte ? ?0? ??? ?? rinte ?0? ? ?1? ? ?1? ? ?0? ?? itcmp11 itcmp0 ? ? fff90h 0fffh r/w 15 14 13 12 11 0 itmc rinte 0 0 0 itcmp11 itcmp0 rinte 12 ? 0 ?? 1 ? itcmp11 itcmp0 12 ???? 001h ? ? itcmp ?? +1 ? ??? ? ? ? ff fh 0 00h ?? itcmp11 itcmp0 ? ?001h? ?fffh? ? ?itcmp11 itcmp0 = 001h ??f il =15hz 1/15[khz] (1+1) = 0.133333...[ms] 133.33[ s] ?itcmp11 itcmp0 = fffh ??f il =15khz 1/15[khz] (4095+1) = 273.066...[ms] 273.07[ms]
R7F0C01592esn r7f0c01692esn 9 12 ? r01uh0453cj0210 rev.2.10 355 2014.10.31 9.4 12 ? 9.4.1 12 ?? itcmp11 itcmp0 ?????? intit 12 ? rinte ?1? 12 ?? 12 ? itcmp11 itcmp0 ???? 12 ? ?0? ??? ? intit 12 ?? ? 9-5 ? ? 9-5 12 ?? itcmp11 itcmp00=0ffh ?? f il =15khz intit itcmp11 itcmp0 rinte 0ffh 000h 0ffh audjs 12!aud <
<(17.07ms) vp rinte !?1? ??0?a audjs !95#l812!aud <?  rinte !?0? ?1? a,x??taudjs,x t "???aud?
R7F0C01592esn r7f0c01692esn 9 12 ? r01uh0453cj0210 rev.2.10 356 2014.10.31 9.4.2 halt/stop ????? halt/stop ??? ?? halt ?? stop ??? rinte ? 1? ??? halt ?? stop ?? ?? rinte ?1? ? rinte ?????? 1 ???? ??? halt ?? stop ?? ? ? rinte ? 1? ??? rinte ? ?1? ??? halt ?? stop ?? ? 9-6 1 ? ? rinte ? 1? ? 1 ?????? halt ?? stop ?? ? 9-6 2 ? 9-6 rinte ? 1? halt ?? stop ???? rinte = 1 yes rinte = 1 no rinte = 1? 7??1y1taudjs e 2 a7??l??u 1taudjs? a?naud <??e> ? ;> halt?? ;> stop?? ;> halt?? ;> stop?? e@/?halt?? 5stop??? e@/?halt?? 5stop??? halt??,xe 2 stop??,xe 2 halt??,xe 2 stop??,xe 2 .baxaud <??e> ? _1 _2
R7F0C01592esn r7f0c01692esn 10 ? / ? r01uh0453cj0210 rev.2.10 357 2014.10.31 10 ? / ? 10.1 ? / ?? ?????? ic ?????? ?? 1 ??? pclbuzn ??? n cksn ??? ? / ??? ? 10-1 ? ? n=0 1 ? 10-1 ? / ??? ? ? pclbuz0 ? pclbuz1 ?? ?28.5 ac ? f main pcloe0 0 0 0 pcloe0 5 3 pclbuz1 "? / trdiob0/p15 pclbuz0 "? /intp4 / to03/ti03/ssi00/ (trjio0)/p31 0 ccs02 ccs01 ccs00 pm15 pm31 pcloe1 0 0 0 0 ccs12 ccs11 ccs10 pcloe1 f main /2 11 f main /2 13 f main f main /2 4 f main /2 11 f main /2 13 f main f main /2 4 js+ c? yf?4? jseg?ey??, <1(cks1) nxne < ey? < ey? < js+ c? eg?j?, < (p15) yf?4? jseg?ey??, <0(cks0) eg?j?, < (p31)
R7F0C01592esn r7f0c01692esn 10 ? / ? r01uh0453cj0210 rev.2.10 358 2014.10.31 10.2 ? / ??? ? / ?? 10-1 ? / ??? 10.3 ? / ??? 10.3.1 ??? n cksn ?????? pclbuzn ?????? ? cksn ?? pclbuzn ?? ? 1 8 ??? cksn ? ????????? ?00h? ? ? ?? ??? n cksn ? / ?????? ??? 1 3 p1 p3
R7F0C01592esn r7f0c01692esn 10 ? / ? r01uh0453cj0210 rev.2.10 359 2014.10.31 ? 10-2 ??? n cksn ?? ? 16mhz ????? 2.7v v dd 4.0v ?? 8mhz ????? ? ?28.5 ac ? ? 1. ????? pcloen=0) 2. ???????? stop ???? stop ?? pcloen ?0? ? 1. n=0 1 2. f main ???? ? fffa5h cks0 fffa6h cks1 00h r/w cksn pcloen 0 0 0 0 ccsn2 ccsn1 ccsn0 pcloen pclbuzn / ?? 0 ? ?? 1 ccsn2 ccsn1 ccsn0 pclbuzn ??? f main = 5mhz f main = 10mhz f main = 20mhz f main = 24mhz 000f main 5mhz 10mhz ? ?? ? ?? ? 001f main /2 2.5mhz 5mhz 10mhz ? 12mhz ? 010f main /2 2 1.25mhz 2.5mhz 5mhz 6mhz 011f main /2 3 625khz 1.25mhz 2.5mhz 3mhz 100f main /2 4 312.5khz 625khz 1.25mhz 1.5mhz 101f main /2 11 2.44khz 4.88khz 9.77khz 11.72khz 110f main /2 12 1.22khz 2.44khz 4.88khz 5.86khz 111f main /2 13 610hz 1.22khz 2.44khz 2.93khz ?? 7 6543210
R7F0C01592esn r7f0c01692esn 10 ? / ? r01uh0453cj0210 rev.2.10 360 2014.10.31 10.3.2 ? / ?????? ? / ??????????? ???? pmxx ???? pxx ? ? 4.3.1 ???? pmxx ? ? 4.3.2 ??? pxx ? ?? / ???? p31/pclbuz0/intp4/to03/ti03/ssi00 /(trjio0) p15/pclbuz1/ trdiob0 ?? / ?????????? pmxx ???? pxx ?0? p31/pclbuz0/intp4/to03/ti03/ssi00 /(trjio0) ? / ???? 3 pm31 ?0? ??? 3 p31 ?0?
R7F0C01592esn r7f0c01692esn 10 ? / ? r01uh0453cj0210 rev.2.10 361 2014.10.31 10.4 ? / ? 1 ??? pclbuz0 ??? 0 cks0 ?? / pclbuz1 ??? 1 cks1 ?? / 10.4.1 ? pclbuzn ?2 ? pclbuz0 ?????????? pmxx ???? pxx ?0? ? pclbuzn ???? cksn bit0 2 ccsn0 ccsn2 ??? ???? ? cksn ? bit7 pcloen ?1? ? / ? 1. ??????? pcloen 1 ???????? ??^? pcloen ?????? 10-3 ? 2. n=0 1 ? 10-3 pclbuzn ??? 10.5 ? / ?? ????? pclbuzn ???? pcloen=0 1.5 pclbuzn ??? stop/halt ?? pclbuzn ??? pcloen 4e?1tjs? axa??z0x,x6y?? jseg?
R7F0C01592esn r7f0c01692esn 11 ?? r01uh0453cj0210 rev.2.10 362 2014.10.31 11 ?? 11.1 ??? ????? 000c0h ? ???????????? ??? ? ??? ? ???? wdte ??? ? wdte ? ?ach? ? ? ???? wdte ?? ?????? resf bit4 wdtrf ?1? resf ??? ? 18 ? ?? 75%+1/2f il ???
R7F0C01592esn r7f0c01692esn 11 ?? r01uh0453cj0210 rev.2.10 363 2014.10.31 11.2 ???? ??? 11-1 ???? ????????????? 11-2 ??????? ? ??? ? 23 ?? ? ? 11-1 ???? ? ? ? 17 ?? ??? wdte ??? ?? 000c0h ????? bit7 wdtint ??? bit6 bit5 window1 window0 ??? bit4 wdton ???? bit3 1 wdcs2 wdcs0 ??? halt/stop ? bit0 wdstbyon f il eynm+8v(000c0h) ,x wdton eynm+8v(000c0h) ,x wdtint klhk{
+ c? (aud,xt$vk3/4+1/2f il ) klhk eynm+8v(000c0h) ,x wdcs2wdcs0 jseg9 {
+ c? 17! aud < ey? < t$v ? !eg? {
+ c? yf?! ? aud#l8 ? 0k ?? ?n ? 0k ???1 ,?k?(?n <,x a??, <(wdte) 4-wdtem?ach?1 ,xdb,x?#+ c? yf?4? eynm+8v(000c0h) ,x window1
`window0 f il /2 6 f il /2 16
R7F0C01592esn r7f0c01692esn 11 ?? r01uh0453cj0210 rev.2.10 364 2014.10.31 11.3 ????? ???? wdte ??? 11.3.1 ??? wdte ? wdte ? ?ach? ????? ? 8 ??? wdte ? ????????? ?9ah? ?1ah? ? ? 11-2 ??? wdte ?? ? wdte ????? 000c0h wdton ????????? wdton ?1? ? 1. wdte ? ?ach? ????? 2. wdte ???????? 3. wdte ????? ?9ah/1ah? ? ?ach? ? ? fffabh 9ah/1ah ? r/w 76543210 wdte wdton ?? wdte ??? 0 ???? 1ah 1 ???? 9ah
R7F0C01592esn r7f0c01692esn 11 ?? r01uh0453cj0210 rev.2.10 365 2014.10.31 11.4 ?? 11.4.1 ?? 1. ???????? 000c0h ?? ? ??? 000c0h bit4 wdton ?1? ???? ? ???? ? 23 ?? ? ? ??? 000c0h bit3 1 wdcs2 wdcs0 ?? ? 11.4.2 23 ? ??? 000c0h bit6 bit5 window1 window0 ??? ? 11.4.3 23 2. ?????? 3. ????????? wdte ?ach? ???? 4. ??? 2 ? wdte ??????? wdte ????? 5. wdte ? ?ach? ?????? ??? ? wdte ???? ? wdte ? ?ach? ? ? 1. ?? 1 ??? wdte ?????????? ? wdte ???? 2. ? wdte ? ?ach? ?????? 2 f il ?? 3. ????? 4. ??? halt ?? stop ???? 000c0h bit0 wdstbyon ??? wdstbyon ? ?0? ?? halt ?? stop ???????? ?0? ? stop ?? x1 ?? cpu ???? ? stop ???????????? ??? stop ??? x1 ?????? ???????? wdton ??? 0 ? ?? 1 ? wdstbyon=0 wdstbyon=1 halt ?? ???? ?? stop ?? snooze ??
R7F0C01592esn r7f0c01692esn 11 ?? r01uh0453cj0210 rev.2.10 366 2014.10.31 11.4.2 ???? ??? 000c0h bit3 1 wdcs2 wdcs0 ????? ????????????? wdte ?ach? ?? ??? 11-3 ???? ? f il ??? wdcs2 wdcs1 wdcs0 ??? f il =17.25khz(max.) 000 2 6 /f il 3.71ms 001 2 7 /f il 7.42ms 010 2 8 /f il 14.84ms 011 2 9 /f il 29.68ms 100 2 11 /f il 118.72ms ??
R7F0C01592esn r7f0c01692esn 11 ?? r01uh0453cj0210 rev.2.10 367 2014.10.31 11.4.3 ????? ??? 000c0h bit6 bit5 window1 window0 ??????? ?? ? ?????? wdte ?ach? ?? ?? ? ?????? wdte ? ?ach? ??????? ??? 50% ? ?? 1 wdte ???????????? wdte ???? ????? 11-4 ????? ? ?? 000c0h bit0 wdstbyon ? ?0? ? window1 window0 ???? ?? 100% ? ??? 2 9 /f il ??????? ??? 50% ? ? ?? 2 9 /f il (max.)=2 9 /17.25khz(max.)=29.68ms ? ???? 0 2 9 /f il (min.) (1?0.5)=0 2 9 /12.75khz 0.5=0 20.08ms ? ??? 2 9 /f il (min.) (1?0.5) 2 9 /f il (max.)=2 9 /12.75khz 0.5 2 9 /17.25khz=20.08 29.68ms window1 window0 ????? 00 ?? 0 1 50% 1 0 75% 1 1 100% ??? 50% 75% 100% ??? 0 20.08ms 0 10.04ms ?? 20.08 29.68ms 10.04 29.68ms 0 29.68ms 0k gkk (50%) 0k '?k (50%) ??aud t$vk vp4- wdte ?, <m ?ach?  {*yf?!? vp4- wdte ?, <m ?ach?  g????aud?
R7F0C01592esn r7f0c01692esn 11 ?? r01uh0453cj0210 rev.2.10 368 2014.10.31 11.4.4 ???? ???? 000c0h bit7 wdtint ??? 75%+1/2f il ? intwdti 11-5 ???? ? stop ?? x1 ?? cpu ???? ? stop ???????????? ??? stop ??? x1 ?????? ??????? ? ?? intwdti ? ??? wdte ?ach? ?? ?? wdte ? ?ach? ???? wdtint ???? / ? 0 ?? 1 ??? 75%+1/2f il ??
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 369 2014.10.31 12 a/d ? a/d ????? 12.1 a/d ?? a/d ???????? 7 ? a/d ??? ani0 ani3 ani16 ani17 ani19 ? a/d ???? 2 adm2 adtyp ? 10 ?? 8 ?? a/d ??? ?10 8 ?? a/d ? ani0 ani3 ani16 ani17 ani19 ? 1 ???? 10 8 ? a/d ?? 1 a/d ?? intad ??? ???? a/d ??? 28 ?? 7ch ani0 ani3 ani16 ani17 ani19 ?? ??? ????? ???? ???? ?????????? a/d ???????? snooze ???? ???? ???? ??? ? 1 ?? a/d ? ??? ??? ?? 1 a/d ? ??? ?? a/d ?????? ??? ? 1/ ? 2 ?? 2.7v v dd 5.5v ??? ?? ? 7 f ad ? 1 ????? 7 ?? f ad ?? ???? ?5 f ad ? 2 ????? 5 ?? f ad ?? ????
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 370 2014.10.31 ? 12-1 a/d ??? intad adcs fr2 fr1 adce fr0 g? &?+ c? #yz? < v ss a/d+ _!ew < a/de@6 <,x?? ?, <0(adm0) yf?4? yf?4? 6 ?3eg9e?f'ey??, < (ads) {
+ c? a/de@64p?, < (adcr?adcrh) e@64p!ew?l$ a?n?, <(adll) a/de@64p tl$?l$ !ew+ c? !ew+ _ *?+ c? lv1 lv0 5 a/d 0? g!5b?, < (adpc) adpc1 adpc0 adpc3 adpc2 a/d#a??, < (adtes) adtes1 adtes0 2 ads3 ads4 ads2 ads1 ads0 adiss adrefm adrefp0 adrck awc adtyp adrefp1 yf? ??+ _(1.45v) v dd av refp /ani0/p20 av refm /ani1/p21 v ss adcs! adrefp1!? adrefp0! adtmd1 adtmd0 adtrs1 adtrs0 a/de@6 <,x?? ?, <1(adm1) a/de@6 <,x?? ?, <2(adm2) e? ?fe?, < (sar) yf? ??+ _(1.45v) adrefm! ey? < ey? < ey? < elcey?,x_ ? adscm 4 e@64p!ewtl$ a?n?, <(adul) ani16/p01/to00/rxd1/pga1/trjio0 ani17/p00/ti00/txd1/cmp0p ani19/cmp1p/p120 ani0/av refp /p20 ani1/av refm /p21 ani2/p22 ani3/p23 pga0 n ?, < pmcxx d+0? {
d+0? {
pmcxx 3 8 8
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 371 2014.10.31 12.2 a/d ??? a/d ?? (1) ani0 ani3 ani16 ani17 ani19 a/d ? 7 ???? a/d ???????? / ?? (2) pgao ?????????? a/d ??? a/d ? (3) & ? & ????? a/d ?? a/d ? ??? (4) a/d ?? ? a/d ????????????????? ???? 1/2av ref ??? sar msb ? ???? 1/2av ref sar ? msb ?? ?? sar ? bit8 ?????? bit9 ?????? ?????? bit9=0 1/4av ref bit9=1 3/4av ref ??????????????? sar ? bit8 ??????????? bit8=1 ??????????? bit8=0 ??? sar ? bit0 ?? 8 ?? a/d ???? sar ? bit2 ?? ? av ref a/d ? + ??? av refp ??? 1.45v v dd (5) ???? ??????????
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 372 2014.10.31 (6) ?? sar successive approximation register sar ? msb ???????????????? ? ? sar ? lsb a/d ?? sar ? ?? a/d ?? adcr ??? a/d ?? a/d ?? intad (7) 10 a/d ?? adcr ? a/d ??????? adcr ? a/d ?? 10 6 ?? ?0? (8) 8 a/d ?? adcrh ? a/d ??????? adcrh ? a/d ?? 8 (9) ? ?? a/d ??????????? a/d ??? a/d ?? / ??? intad ?? (10) av refp ???? av refp ? ? av refp a/d ? + ???? a/d ???? 2 adm2 adrefp1 adrefp0 ? ?0? ?1? ?? av refp ? ? ??av refm /v ss ??? ani0 ani3 ani16 ani17 ani19 ?????? av refp ?? v dd ??? 1.45v ? a/d ? + ?? (11) av refm ???? av refm ?? av refm a/d ?? ? ??? adm2 ? adrefm ?1? av refm ?? v ss ? a/d ?? ? ??
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 373 2014.10.31 12.3 a/d ??? a/d ???? ? ? 0 per0 ?a/d ???? 0 adm0 ?a/d ???? 1 adm1 ?a/d ???? 2 adm2 ?10 a/d ?? adcr ?8 a/d ?? adcrh ? ???? ads ? ????? adul ? ????? adll ?a/d ?? adtes ?a/d ?? adpc ? ????? 0 12 pmc0 pmc12 ? ???? 0 2 12 pm0 pm2 pm12
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 374 2014.10.31 12.3.1 ? 0 per0 per0 ??????????????????? ?? ?? a/d ??? bit5 adcen ?1? ? 1 8 ??? per0 ? ????????? ?00h? ? 12-2 ? 0 per0 ?? ? 1. ?? a/d ?? adcen ? ?1? ????? adcen ? ?0? ? a/d ? ??????? ???? 0 2 12 pm0 pm2 pm12 ??? ? 0 12 pmc0 pmc12 ? a/d ?? adpc ? ?a/d ???? 0 adm0 ?a/d ???? 1 adm1 ?a/d ???? 2 adm2 ?10 a/d ?? adcr ?8 a/d ?? adcrh ? ???? ads ? ????? adul ? ????? adll ?a/d ?? adtes 2. ?? ?0? bit1 3 4 6 7 ?f0 0f0h 00h r/w per0 0 0 adcen 0 0 sau0en 0 tau0en adcen a/d ???? 0 ????? ? a/d ??? sfr ?a/d ???? 1 ??? ? ? a/d ??? sfr 765 432 10
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 375 2014.10.31 12.3.2 a/d ???? 0 adm0 ?? a/d ??????????? ? 1 8 ??? adm0 ? ????????? ?00h? ? 12-3 a/d ???? 0 adm0 ?? ? 1. fr2 fr0 lv1 lv0 a/d ??? ? 12-3 a/d ??? ? 2. ??????? adcs adce a/d ???? ??? 1 s?? adce ?1? ? 1 s? adcs ?1? ???? ?? 1 s adcs ?1? ??? ? 1. ????? adcs=0 adce=0 ? fr2 fr0 lv1 lv0 2. ? adcs=1 adce=0 ? 3. ?? 8 ?? adce=0 adcs=0 ???? adce=1 adcs=1 ? ?12.7 a/d ? ?? ? ?? ? fff30h 00h r/w adm0 adcs 0 fr2 ? 1 fr1 ? 1 fr0 ? 1 lv1 ? 1 lv0 ? 1 adce adcs a/d ?? 0 ??? [ ? ] ??? / ?? 1 ? [ ? ] ?????? ?????a/d ????? + ??? adce a/d ?? ? 2 0 ?? a/d ?? 1 a/d ?? 7 6543210
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 376 2014.10.31 12-1 adcs adce ? 12-2 adcs ? adcs adce a/d ? 00 ????? 01 ??? 10 ?? 11 ??? a/d ??? ??? ??? adcs ? ?1? ? adcs ? ?0? ? ??? ? adcs ? ?0? ? ? a/d ??? ?0? ? ??? ??? ??? adcs ? ?0? ? ??? adcs ? ?0? ? ? ?? ??? ??? ? ? adcs ? ?0? ? ??? ? adcs ? ?0? ? ? a/d ??? ?0?
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 377 2014.10.31 ? 12-4 ? a/d ????? ? 1. ?????????? adce adcs ? ? 1 s 2. ?????? ????? 2 ????????? a/d ????? ? 1. ???????? adcs ?1? ?????? ?1? ?? ? a/d ???? adcs ?0? 2. ????????? adcs ? a/d ??? ?0? ?1? ?? 3. adcs ? ?0? ??? / ???? adce 4. ?? a/d ??????? ??????2 f clk ? + ?? + a/d ?? ?????2 f clk ? + ?? + a/d ???? + a/d ?? ? f clk cpu/ ??? adm0 ?? f ad ?? f clk ? fr2 fr1 fr0 ?? / ????? ???? 000 f clk /64 63 1 001 f clk /32 31 010 f clk /16 15 011 f clk /8 7 100 f clk /6 5 101 f clk /5 4 110 f clk /4 3 111 f clk /2 1 adce adcs adcs adcs e@6
|k "?2 e@6
|k "?2 e@6
|k "?2 a/d+ _!ew < ec?o ?? 0!6e@6 e@6y 4-adcs!m?1??4- adcs!m?0?? e@6y a/d+ _!ew <?a?e> "?1 "?1 .@?o 1y?? e@6e> 0!6e@6 e@6y ?o y 4-adcs!m?1?? ?#.@?o ? 4-adcs!m?0?? e@6y e@6e> .@?o 1y?? 0!6e@6 e@6y a/d+ $d0n1yk ?#.@?o ? 4-adcs!m?0?? e@6y e@6e> a/d e@6437?|#l8? a/d e@6437?|#l8? a/d e@6437?|#l8?
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 378 2014.10.31 12-3 a/d ??? (1/4) (1) a/d ???????? 1 2 ?? / ????? ? 10 ????? 8 ??? 2 ?? f ad ? 1. a/d ?? ?28.7.1 a/d ? ? ??? t conv ? 2. ? fr2 fr0 lv1 lv0 ????????? adcs=0 adce=0 ? 3. ??????? 1 ???????????? ????????? ? f clk cpu/ ??? a/d ???? 0 adm0 ?? ?? f ad ? ? ? ?? 10 ???? 2.7v v dd 5.5v fr2 fr1 fr0 lv1 lv0 f clk = 1mhz f clk = 2mhz f clk = 4mhz f clk = 8mhz f clk = 16mhz f clk = 24mhz 00000 ? 1 f clk /64 19 f ad ? 7 f ad 1216/f clk ?? ?? ?? ?? ?? ?? 001 f clk /32 608/f clk 38 s25.33 s 010 f clk /16 304/f clk 38 s19 s12.67 s 011 f clk /8 152/f clk 38 s19 s9.5 s6.33 s 100 f clk /6 114/f clk 28.5 s14.25 s7.125 s4.75 s 101 f clk /5 95/f clk 23.75 s11.875 s5.938 s3.96 s 110 f clk /4 76/f clk 38 s19 s9.5 s4.75 s3.17 s 111 f clk /2 38/f clk 38 s19 s9.5 s4.75 s 2.375 s ?? 00001 ? 2 f clk /64 17 f ad ? 5 f ad 1088/f clk ?? ?? ?? ?? ?? ?? 001 f clk /32 544/f clk 34 s22.67 s 010 f clk /16 272/f clk 34 s17 s 11.33 s 011 f clk /8 136/f clk 34 s17 s8.5 s5.67 s 100 f clk /6 102/f clk 25.5 s12.75 s6.375 s4.25 s 101 f clk /5 85/f clk 21.25 s 10.625 s5.3125 s3.54 s 110 f clk /4 68/f clk 34 s17 s8.5 s4.25 s2.83 s 111 f clk /2 34/f clk 34 s17 s8.5 s4.25 s 2.125 s ??
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 379 2014.10.31 12-3 a/d ??? (2/4) (2) a/d ????????? 1 2 ?? / ????? ? 10 ????? 8 ??? 2 ?? f ad ? 1. a/d ?? ?28.7.1 a/d ? ? ??? t conv ? 2. ? fr2 fr0 lv1 lv0 ????????? adcs=0 adce=0 ? 3. ??????? 1 ???????????? ????????? ? f clk cpu/ ??? a/d ???? 0 adm0 ?? ?? f ad ? ? ? ?? 10 ???? 2.7v v dd 5.5v fr2 fr1 fr0 lv1 lv0 f clk = 1mhz f clk = 2mhz f clk = 4mhz f clk = 8mhz f clk = 16mhz f clk = 24mhz 00010 ?? 1 f clk /64 19 f ad ? 7 f ad 1216/f clk ?? ?? ?? ?? ?? ?? 001 f clk /32 608/f clk 38 s25.33 s 010 f clk /16 304/f clk 38 s19 s ?? 011 f clk /8 152/f clk 38 s19 s ?? 100 f clk /6 114/f clk 28.5 s ?? 101 f clk /5 95/f clk 23.75 s 110 f clk /4 76/f clk 38 s19 s 111 f clk /2 38/f clk 38 s19 s ?? 00011 ?? 2 f clk /64 17 f ad ? 5 f ad 1088/f clk ?? ?? ?? ?? ?? ?? 001 f clk /32 544/f clk 34 s22.67 s 010 f clk /16 272/f clk 34 s17 s ?? 011 f clk /8 136/f clk 34 s17 s ?? 100 f clk /6 102/f clk 25.5 s ?? 101 f clk /5 85/f clk 21.25 s 110 f clk /4 68/f clk 34 s17 s 111 f clk /2 34/f clk 34 s17 s ??
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 380 2014.10.31 12-3 a/d ??? (3/4) (3) a/d ???????? 1 2 ???? ? 1 ? 1. ???? 2 ????????? a/d ???? ? 12-3 2. v dd 3.6v ??? 3. ?????? 4. 10 ????? 8 ??? 2 ?? f ad ? 1. a/d ?? ?28.7.1 a/d ? ? ??? t conv ??? t conv a/d ????? 2. ? fr2 fr0 lv1 lv0 ????????? adcs=0 adce=0 ? 3. ??????? 1 ???????????? ????????? 4. ???????????? ? f clk cpu/ ??? a/d ???? 0 adm0 ?? ? ? f ad ? ? ? ? ? ? 4 a/d ? ?? ? + ?? a/d ???? +10 ???? 2.7v v dd 5.5v fr2 fr1 fr0 lv1 lv0 f clk = 1mhz f clk = 2mhz f clk = 4mhz f clk = 8mhz f clk = 16mhz f clk = 24mhz 00000 ? 1 f clk /64 8 f ad 19 f ad ? 7 f ad 1728/f clk ?? ?? ?? ?? ?? ?? 001 f clk /32 864/f clk 54 s36 s 010 f clk /16 432/f clk 54 s27 s18 s 011 f clk /8 216/f clk 54 s27 s13.5 s9 s 100 f clk /6 162/f clk 40.5 s 20.25 s 10.12 5 s 6.75 s 101 f clk /5 135/f clk 33.75 s 16.875 s 8.4375 s 5.63 s 110 f clk /4 108/f clk 54 s27 s13.5 s6.75 s 4.5 s ? 2 111 f clk /2 54/f clk 54 s27 s 13.5 s6.75 s 3.375 s ? 2 3 ?? 00001 ? 2 f clk /64 8 f ad 17 f ad ? 5 f ad 1600/f clk ?? ?? ?? ?? ?? ?? 001 f clk /32 800/f clk 50 s 33.33 s 010 f clk /16 400/f clk 50 s25 s 16.67 s 011 f clk /8 200/f clk 50 s25 s12.5 s8.33 s 100 f clk /6 150/f clk 37.5 s 18.75 s 9.375 s6.25 s 101 f clk /5 125/f clk 31.25 s 15.625 s 7.8125 s 5.21 s 110 f clk /4 100/f clk 50 s25 s12.5 s6.25 s 4.17 s ? 2 111 f clk /2 50/f clk 50 s25 s 12.5 s6.25 s 3.125 s ? 2 3 ??
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 381 2014.10.31 12-3 a/d ??? (4/4) (4) a/d ????????? 1 2 ? 1 ???? ? 2 ? 1. ?????? 2. ???? 2 ????????? a/d ???? ? 12-3 3. 10 ????? 8 ??? 2 ?? f ad ? 1. a/d ?? ?28.7.1 a/d ? ? ??? t conv ??? t conv a/d ????? 2. ? fr2 fr0 lv1 lv0 ????????? adcs=0 adce=0 ? 3. ??????? 1 ???????????? ????????? 4. ???????????? ? f clk cpu/ ??? a/d ???? 0 adm0 ?? ? ? f ad a/d ?? ? ? ? ? ? 3 a/d ? ?? ? + ?? a/d ???? +10 ???? 2.7v v dd 5.5v fr2 fr1 fr0 lv1 lv0 f clk = 1mhz f clk = 2mhz f clk = 4mhz f clk = 8mhz f clk = 16mhz f clk = 24mhz 00010 ?? 1 f clk /64 2 f ad 19 f ad ? 7 f ad 1344/f clk ?? ?? ?? ?? ?? ?? 001 f clk /32 672/f clk 42 s28 s 010 f clk /16 336/f clk 42 s21 s ?? 011 f clk /8 168/f clk 42 s21 s ?? 100 f clk /6 126/f clk 31.5 s ?? 101 f clk /5 105/f clk 26.25 s 110 f clk /4 84/f clk 42 s21 s 111 f clk /2 42/f clk 42 s21 s ?? 00011 ?? 2 f clk /64 2 f ad 17 f ad ? 5 f ad 1216/f clk ?? ?? ?? ?? ?? ?? 001 f clk /32 608/f clk 38 s25.33 s 010 f clk /16 304/f clk 38 s19 s ?? 011 f clk /8 152/f clk 38 s19 s ?? 100 f clk /6 114/f clk 28.5 s ?? 101 f clk /5 96/f clk 23.75 s 110 f clk /4 76/f clk 38 s19 s 111 f clk /2 38/f clk 38 s19 s ??
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 382 2014.10.31 ? 12-5 a/d ?? a/d ?? ??? adcs intad adcs 15 mads? g? c g? e? ?fe e? ?fe g?
| e@6 e@6
| k e@6k e@6k
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 383 2014.10.31 12.3.3 a/d ???? 1 adm1 ? a/d ????????? ? 1 8 ??? adm1 ? ????????? ?00h? ? 12-6 a/d ???? 1 adm1 ?? ? 1. ? adm1 ??????? adcs=0 adce=0 ? 2. ?? a/d ??????? ?????? 2 f clk ? + ?? + a/d ?? ????? 2 f clk ? + ?? + a/d ???? + a/d ?? 3. snooze ?? intit 4 f clk ??? intit ? ? f clk cpu/ ??? ? fff32h 00h r/w 76543210 adm1 adtmd1 adtmd0 adscm 0 0 0 adtrs1 adtrs0 adtmd1 adtmd0 a/d ???? 00 ?? 01 10 ????? 11 ???? adscm a/d ???? 0 ??? 1 ??? adtrs1 adtrs0 ???? 00 ?? 1 ???? inttm01 01 elc ??? 11 12 ?? intit ??
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 384 2014.10.31 12.3.4 a/d ???? 2 adm2 ? a/d ? + ?? ? ?????? a/d ?? ??? snooze ???? ? 1 8 ??? adm2 ? ????????? ?00h? ? 12-7 a/d ???? 2 adm2?? (1/2) ? ? hs ??? ? 1. ? adm2 ??????? adcs=0 adce=0 ? 2. ??? stop ???? adrefp1 ?1? ???? adrefp1 adrefp0=1 0 ? ?28.4.2 ? ? ? a/d ??? i adref ?? 3. ? av refp av refm ?? ani0 ani1 ????????????? ? f0010h 00h r/w adm2 adrefp1 adrefp0 adrefm 0 adrck awc 0 adtyp adrefp1 adrefp0 a/d ? + ???? 00 v dd ? 01 p20/av refp /ani0 ? 10 ??? 1.45v ? ? 11 ?? ? ? adrefp1 adrefp0 ??2? ? adce ?0? ? adrefp1 adrefp0 ? ??????? a ? adce ?1? ??????? b adrefp1 adrefp0 ? ?1? ?0? ? a=5 s b=1 s adrefp1 adrefp0 ? ?0? ?0? ?0? ?1? ? a ? b=1 s ?????? a/d ? ? adrefp1 adrefp0 ?1? ?0? ??????? a/d ? adiss ? ?0? ? a/d ? adrefm a/d ?? ? ???? 0 v ss ? 1 p21/av refm /ani1 ? adrck ???? 0 adll ? adcr ? adul ? area1 ?? intad 1 adcr ? adll ? area2 adul ? adcr ? area3 ?? intad area1 area3 ? intad ?? 12-8 ? 76543 2 10
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 385 2014.10.31 ? 12-7 a/d ???? 2 adm2?? (2/2) ? ?17.3.3 snooze ?? ? ?stop ?? snooze ???? ? ? ? adm2 ??????? adcs=0 adce=0 ? ? 12-8 adrck ??? ? intad ? a/d ? adcr ? adcrh ? ? f0010h 00h r/w adm2 adrefp1 adrefp0 adrefm 0 adrck awc 0 adtyp awc snooze ??? 0 ? snooze ??? 1 ? snooze ??? ? stop ???? stop ?? cpu ? a/d ? snooze ?? ? ????? cpu/ ?? f clk 2? snooze ?? ??????? ? ????????? snooze ??? ? ????? snooze ??? ? ? snooze ??????? ?snooze ???? ? + ? ? + a/d ???? + a/d ?? +2 f clk ? ? ? ?? snooze ??????? awc ?0? ???? stop ? ?? awc ? ?1? ?? stop ?????? awc ? ?0? awc ?1? ???? a/d ? snooze ??????? adtyp a/d ???? 0 10 ? 1 8 ? 76543 2 10 1111111111 0000000000 a dcr?, <,x (a/de@64p) area 1 (adll0adcr0adul) area 2 (adcr?adll) area 3 (adul?adcr) adul?, <,xa?n 'adrck=0 {*intad? 'adrck=1 {*intad? 'adrck=1 {*intad? adll?, <,xa?n
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 386 2014.10.31 12.3.5 10 a/d ?? adcr ? a/d ? 16 ? 6 ?? ?0? ? a/d ????? sar ???? 8 ? fff1fh 2 ? fff1eh ? 2 ? ? 16 ?? adcr ? ????????? ?0000h? ? a/d ?? a/d ????? adrck adul/adll ??? 12- 8 ???? a/d ? ? 12-9 10 a/d ?? adcr ?? ? 1. ? 8 ? a/d ? a/d ???? 2 adm2 adtyp=1 ? adcr ? 2 adcr ? bit7 bit6 ???? ?0? 2. adcr ? 16 ??? bit15 ?? 10 12.3.6 8 a/d ?? adcrh ? a/d ? 8 ? 10 ??? 8 ? ? 8 ?? adcrh ? ????????? ?00h? ? a/d ?? a/d ????? adrck adul/adll ??? 12- 8 ???? a/d ? ? 12-10 8 a/d ?? adcrh ?? ? a/d ???? 0 adm0 ???? ads a/d ?? adpc ? adcrh ???? adm0 ads adpc ??? ?????? ? fff1fh fff1eh 0000h r fff1fh fff1eh adcr 000000 ? fff1fh 00h r 76543210 adcrh
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 387 2014.10.31 12.3.7 ???? ads ?? a/d ?????? ? 1 8 ??? ads ? ????????? ?00h? ? 12-11 ???? ads ?? ? ? hs ??? ? 1. ? bit5 bit6 ?0? 2 adpc ? pmcx ???????????? 0 2 12 pm0 pm2 pm12???? 3. a/d ?? adpc ?? / ?? ads ?? 4. ?????? 0 12 pmc0 pmc12 ?? / ?? ads ?? 5. ? adiss ? a/d ?????? adcs=0 adce=0 ? 6. av refp a/d ? + ???? ani0 ? a/d ?? 7. av refm a/d ?? ? ???? ani1 ? a/d ?? 8. ? adiss ?1? ???? 1.45v + ?? ?? adiss ?1? ???? 1 ???? ?12.7.4 ??? ? / ????? ? 9. ??? stop ???? adiss ?1? adiss ?1? ? ?28.4.2 ? ? ? a/d ??? i adref ?? ? fff31h 00h r/w 76543210 ads adiss 0 0 ads4 ads3 ads2 ads1 ads0 adiss ads4 ads3 ads2 ads1 ads0 ? ? ? 000000ani0 p20/ani0/av refp 000001ani1 p21/ani1/av refm 000010ani2 p22/ani2 000011ani3 p23/ani3 010000ani16 p01/ani16 010001ani17 p00/ani17 010011ani19 p120/ani19 010100 ?pgao 100000 ? ??? ? 100001 ? ??? 1.45v ? ??
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 388 2014.10.31 12.3.8 ????? adul ? a/d ???? a/d ? adul ???? a/d ???? 2 adm2 adrck ? ? 12-8 ? intad ? ? 8 ??? adul ? ????????? ?ffh? ? 1. ? 10 ? a/d ?? 10 a/d ?? adcr ? 8 adul ?? adll ?? 2. ? adul ? adll ??????? adcs=0 adce=0 ? 3. ? adul ? adll ??? adul adll ? 12-12 ????? adul ?? 12.3.9 ????? adll ? a/d ???? a/d ? adll ???? a/d ???? 2 adm2 adrck ? ? 12-8 ? intad ? ? 8 ??? adll ? ????????? ?00h? ? 12-13 ????? adll ?? ? 1. ? 10 ? a/d ?? 10 a/d ?? adcr ? 8 adul ?? adll ?? 2. ? adul ? adll ??????? adcs=0 adce=0 ? 3. ? adul ? adll ??? adul adll ? f0011h ffh r/w 76543210 adul adul7 adul6 adul5 adul4 adul3 adul2 adul1 adul0 ? f0012h 00h r/w 76543210 adll adll7 adll6 adll5 adll4 adll3 adll2 adll1 adll0
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 389 2014.10.31 12.3.10 a/d ?? adtes ??? a/d ? + ???? anixx ???? ?? 1.45v ? a/d ? ? 8 ??? adtes ? ????????? ?00h? ? 12-14 a/d ?? adtes ?? ? ? hs ????????? 1.45v 12.3.11 ??????? ? a/d ??????????? ???? pmxx ??? ?? pmcxx a/d ?? adpc ? ? 4.3.1 ???? pmxx ? ? 4.3.6 ????? 0 12 pmcxx ? ? 4.3.7 a/d ?? adpc ? ? ani0 ani3 a/d ???????????? pmxx ?1? ? a/d ?? adpc ???? ? ani16 ani17 ani19 a/d ???????????? pmxx ?????? pmcxx ?1? ? f0013h 00h r/w 76543210 adtes 0 0 0 0 0 0 adtes1 adtes0 adtes1 adtes0 a/d ? 00 anixx/ ??? ? / ??? 1.45v ? ?? ?? ads ? 10 ? ?? ? adm2 ? adrefm ? 11 + ??? adm2 ? adrefp1 adrefp0 ? ??
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 390 2014.10.31 12.4 a/d ?? a/d ??? ? & ????? ????? & ??????? a/d ??? ?? sar bit9 ?????????? 1/2 av ref ? a/d ?????????????? 1/2 av ref sar ? msb ????? 1/2 av ref ? msb ? ?? sar ? bit8 ?????? bit9 ????? ?????? ? bit9=1 3/4 av ref ? bit9=0 1/4 av ref ??????????? sar ? bit8 2 ? ????? bit8=1 ? ???? bit8=0 ??? sar ? bit0 ?? ? 10 ??? sar ?? ??? a/d ?? adcr adcrh ? ? 1 ??? a/d ? intad ???? adcs ? ?0? ?? ? 2 ??? a/d ??? adcs ?0? ? 1. a/d ?? a/d ????? adrck adul/adll ??? 12- 8 ???? a/d ?? intad adcr ? adcrh ? a/d ? 2. ??? adcs ?? ?0? ?????????? adcs ?? ?0? ?1? ?? ? 1. 2 a/d ?? ?adcr ? 16 10 a/d ?? ? adcrh ? 8 8 a/d ?? 2. av ref a/d ? + ??? av refp ??? 1.45v v dd
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 391 2014.10.31 ? 12-15 a/d ?? ?? ???? a/d ? adcs a/d ?? ?0? ??? a/d ?? a/d ???? 0 adm0 bit7 adcs ?0? ?? a/d ?????? ads ?? a/d ? ads ??? a/d ????? ??? a/d ?? adcr adcrh ?? ?0000h? ?00h? sar adcr intad 4-adcs!m?1?? adcs g? k g? a/de@6 n a /de@6 <,xe>
|e@6 e@6 y e@6
|k e@6k e@6 4p e@6 4p e@6y
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 392 2014.10.31 12.5 ?? ? ani0 ani3 ani16 ani17 ani19 ??? a/d ? 10 a/d ?? adcr ??? int( ) ???? v ain ?? av ref av ref ?? adcr a/d ?? adcr ? sar ?? ?? a/d ??? ? 12-16 ? ? 12-16 ?? a/d ??? ? av ref a/d ? + ??? av refp ??? 1.45v v dd sar = int v ain av ref 1024 0.5 a dcr = sar 64 0.5 av ref 1024 v ain 0.5 av ref 1024 adcr 64 adcr 64 0? 0? 1023 1022 1021 3 2 1 0 ffc0h ff80h ff40h 00c0h 0080h 0040h 0000h a/de@64p sar adcr 1 2048 1 1024 3 2048 2 1024 5 2048 eg9+ _av ref 3 1024 2043 2048 1022 1024 2045 2048 1023 1024 2047 2048 1
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 393 2014.10.31 12.6 a/d ??? a/d ?????????k ? 12.7 a/d ??? ? 12.6.1 ?? ?????? ???? a/d ???? 0 adm0 adce ?1? a/d ??? ???? 1 s ?? adm0 ? adcs ?1? ?? ?? ads ?? a/d ? a/d ??? a/d ?? adcr adcrh ? a/d ? ? intad a/d ??? a/d ? ? adcs ? ?1? ?? a/d ???????? ??? ads ??? a/d ? ads ??? a/d ????? ????? a/d ? ? adcs ?0? ?? a/d ? a/d ??? a/d ???? adce ?0? a/d ?????? adce ? ?0? ?? adcs ?1? ??? a/d ? ? 12-17 ?? ??????? adce adcs ads intad adcr? adcrh a /de@6(?? ani0 ani1 db6 (ani1) db7 (ani1) db6 (ani1) db7 (ani1) db8 (ani1) db1 (ani0) db1 (ani0) db2 (ani0) db4 (ani0) db2 (ani0) db3 (ani0) db4 (ani0) db5 (ani0) e@6 y e@6 y 0!6 e@6 0!6 e@6 a/de@643 ???? ?e@6? !6e@6? 9 9 9 !6e@6 g????e@6? 9 : a/de@6e?/? adcs! m?1?? <{*.@?o (>???)? ; a/de@6e?/? m ads(ani0ani1)? 8 e@6y(??? adcs!5b?1?? a/de@6e?/? adcs!5b?0?? = 7adce!5b?1?? adce!5b?0??> 9
|e@6
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 394 2014.10.31 12.6.2 ?? ?????? ???? a/d ???? 0 adm0 adce ?1? a/d ??? ???? 1 s ?? adm0 ? adcs ?1? ?? ?? ads ?? a/d ? a/d ??? a/d ?? adcr adcrh ? a/d ? ? intad a/d ? adcs ? ?0? a/d ??? ? adcs ? ?1? ?? a/d ???????? ??? ads ??? a/d ? ads ??? a/d ????? ? adcs ?0? ?? a/d ? a/d ??? a/d ???? adce ?0? a/d ?????? adce ? ?0? ?? adcs ?1? ??? a/d ?? a/d ?????? a/d ? ? 12- 18 ?? ??????? adce adcs ads intad adcr? adcrh a /de@6(?? 7 adce!5b?1?? 8 e@6y(??? adcs!5b?1?? : e@643 a adcs7?|#?0?? 8 8 : 8 : 9 a/de@643? ; a/de@6 e?/?  adcs! m?1?? !6e@6 g????e@6? !6e@6? 9 < a/de@6e?/? m ads(ani0ani1)? 9 adce!5b?0??> = a/de@6e?/? adcs!5b?0?? e@6 y e@6y e@6y e@6y e@6y ani1 0!6 e@6 db1 (ani0) db2 (ani0) db1 (ani0) db3 (ani0) db5 (ani1) db3 (ani0) db4 (ani0) db5 (ani1) db6 (ani1) 0!6 e@6 ani0
|e@6
|e@6
|e@6
|e@6
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 395 2014.10.31 12.6.3 ????? ?????? ???? a/d ???? 0 adm0 adce ?1? a/d ??? ???? 1 s ?? adm0 ? adcs ?1? ? ?? ????????? adcs ?1? ?? a/d ? adcs ? ?1? ???????? ads ?? a/d ? a/d ??? a/d ?? adcr adcrh ? a/d ? ? intad a/d ??? a/d ? ???? a/d ???????? ??? ads ??? a/d ? ads ??? a/d ????? ? adcs ? ?1? ?? a/d ???????? ? adcs ?0? ?? a/d ? a/d ?????? ? a/d ????? a/d ???? adce ?0? a/d ?????? adcs ? ?0? ? ? ???? a/d ? ? 12-19 ????? ??????? 7adce!5b?1?? 8 adcs!5b?1?? 9{*.@?o ? a/de@6e?/? {*.@?o ? ; a/de@643 ???? ?e@6? : a/de@6e?/? m ads(ani0ani1)? < !6e@6 g????e@6? !6e@6 g????e@6? !6e@6 g????e@6? : : : : a/de@6e?/? 4- adcs!g?m?1?? = adce!5b?0??? adce adcs ads intad adcr? adcrh a /de@6(?? .@?o 0!6 e@6 ani1 y ??o ? ?o y (?? y ??o ? db 1 (ani0) db 1 (ani0) db 2 (ani0) db 4 (ani0) db 2 (ani0) db 3 (ani0) db 4 (ani0) db 5 (ani0) db 6 (ani1) db 6 (ani1) db 8 (ani1) db 7 (ani1) db 8 (ani1) db 9 (ani1) e@6y e@6y 0!6e@6 !6e@6? > a/de@6e?/?  adcs!5b?0?? ani0
|e@6
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 396 2014.10.31 12.6.4 ????? ?????? ???? a/d ???? 0 adm0 adce ?1? a/d ??? ???? 1 s ?? adm0 ? adcs ?1? ? ?? ????????? adcs ?1? ?? a/d ? adcs ? ?1? ???????? ads ?? a/d ? a/d ??? a/d ?? adcr adcrh ? a/d ? ? intad a/d ? adcs ?1? ?? a/d ??? ???? a/d ???????? ??? ads ??? a/d ? ads ??? a/d ????? ? adcs ? ?1? ?? a/d ???????? ? adcs ?0? ?? a/d ? a/d ?????? ? a/d ????? a/d ???? adce ?0? a/d ? ???? adcs ? ?0? ?? ???? a/d ? ? 12-20 ????? ??????? adce adcs ads intad adcr? adcrh a /de@6(?? .@?o 7adce!5b?1?? 0!6 e@6 0!6 e@6 e@6y db 1 (ani0) db 1 (ani0) db3 (ani0) db 5 (ani1) db 7 (ani1) db 2 (ani0) db 5 (ani1) db 7 (ani1) db 6 (ani1) db8 (ani1) db 4 (ani0) db 3 (ani0) adce!5b?0??@ y ??o ? ?o y (?? 8 adcs!5b?1?? adcs!? ?1?,x(??? ; ; ; 9{*.@?o ? 9 9 9 9 e@6y e@6y e@6y e@6y e@6 y !6e@6 g????e@6? : a/de@6e?/? {*.@?o ? < = : : a/de@6e?/? m ads(ani0ani1)? > ; a/de@6e?/? 4- adcs!g?m?1?? : !6e@6g? ???e@6? !6e@6? ? a/de@6e?/?  adcs! 5b ?0?? a/de@643? !6e@6 g????e@6? y ?y? ani0 ani1
|e@6
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 397 2014.10.31 12.6.5 ???? ?????? ???? a/d ???? 0 adm0 adce ?1? ??? ????????? ads ?? a/d ????? adm0 ? adcs ?1? a/d ??? a/d ?? adcr adcrh ? a/d ? ? intad a/d ??? a/d ? ??? ???? a/d ???????? ??? ads ??? a/d ? ads ??? a/d ????? ? adcs ? ?1? ?? a/d ???????? ? adcs ?0? ?? a/d ???? a/d ? ???? adce ? ?0? ?????? a/d ? ? 12-21 ???? ??????? 7adce!5b?1?? 8{*.@?o ? a/d e@6e?/? {*.@?o ? : 9 ; 9 9 9 9 < adce adcs ads intad adcr? adcrh a /de@6(?? .@?o ani0 y ??o ? ?o y (?? ?o y (?? y ??o ? db 1 (ani0) db1 (ani0) db 2 (ani0) db 4 (ani0) db 2 (ani0) db 3 (ani0) db 4 (ani0) db 5 (ani0) db 6 (ani1) db 6 (ani1) db 8 (ani1) db 7 (ani1) db8 (ani1) db 9 (ani1) 0!6 e@6 e@6 y 0!6 e@6 e@6 y !6e@6? = a/de@6e?/? 4- adcs!g?m?1?? a/de@6e?/?  adcs!5b?0?? a/de@6e?/? m ads(ani0ani1)? !6e@6 g???? e@6? !6e@6 g???? e@6? !6e@6 g???? e@6? a/de@643 ???? ?e@6? ani1
|e@6
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 398 2014.10.31 12.6.6 ???? ?????? ???? a/d ???? 0 adm0 adce ?1? ??? ????????? ads ?? a/d ????? adm0 ? adcs ?1? a/d ??? a/d ?? adcr adcrh ? a/d ? ? intad a/d ? adcs ? ?0? a/d ????? ???? a/d ???????? ??? ads ??? a/d ? ads ??? a/d ????? ? adcs ? ?1? ?? a/d ???????? ? adcs ?0? ?? a/d ???? a/d ? ???? adce ? ?0? ?????? a/d ? ? 12-22 ???? ??????? adce adcs ads intad adcr? adcrh a /de@6(?? .@?o 7adce!5b?1?? 0!6 e@6 y (?? 0!6 e@6 y (?? 0!6e@6 0!6e@6 0!6e@6 0!6e@6 db 1 (ani0) db1(ani0) db3(ani0) db5(ani1) db7(ani1) db 2 (ani0) db 5 (ani1) db 7 (ani1) db8 (ani1) db6 (ani1) db4 (ani0) db 3 (ani0) ?o y (?? 8{*.@?o ? 8 8 8 8 9 a/d e@643? a/d e@6e?/? {*.@?o ? ; < 9 > 9 !6e@6? ?o y (?? e@643 a adcs!7?|#?0?? : : :: = 9 ani1 a/de@6e?/? m ads(ani0ani1)? !6e@6 g????e@6? !6e@6 g????e@6? !6e@6 g????e@6? a/d e@6e?/?  adcs! m?1?? a/d e@6e?/?  adcs!5b?0?? y ??o ? ani0 y ? ?o ? ?o y (?? ?o y (?? ?o y (?? ?o y (??
|e@6
|e@6
|e@6
|e@6
|e@6
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 399 2014.10.31 12.7 a/d ??? ?? a/d ???? 12.7.1 ??? ? 12-23 ??? ? adrck adul/adll ?????? adcr ? adcrh ? a?n?? a?nper0?, < a?nadpc?, <
`pmc?, < a?npm?, < per0?, <,xadcen!5b?1???ojs? 0? a?n?3eg9? ani0ani3 6??e?e?adpc?, a?n? ani16?ani17?ani196??e?e?pmc?, a?n? 0? a?neg9??? ? adm0?, < fr2fr0!?lv1!?lv0!?a?na/de@6k? ? adm1?, < adtmd1!?adtmd0!?a?nec?o ??? adscm!?e24e@6?? ) ?e@6?? ? adm2?, < adrefp1!?adrefp0!?adrefm!?ey? ??+ _$d? adrck!?area1?area35area2ey?{*? ?,xa/de@6 4p!ew,x8 ? adtyp!?8!10!e|)[ ? adul/adll?, < a?na/de@64p!ew,xtl$
`?l$? ? ads?, < ads4ads0!?ey??3eg9e?f'? a?nadce! adm0?, <,xadce!5b?1?e9a/de@6y(??? ??+ _0n1ykaudb e?e?ec ??+ _,x0n1yk(1s)e> aud? ??a/de@6 a/de@643 a/de@6e?/? {*a/de@643?(intad) "? ? e@64p,adcr ?, <
`adcrh?, < e@64p,adcr?, <
`adcrh?, <? a?nadcs! 43 ??+ _0n1ykb,xaud aadm0?, <,xadcs!5b?1? ??a/de@6? ??+ _0n1ykauda  adrefp1!
`adrefp0!,xl??u ??+ _0n1yka? 'adrefp1 !?adrefp0! ?1???0?a=5s? 'adrefp1 !?adrefp0! ?0???0?5?0???1?l??u1y? ? a?nadm0?, < ? a?nadm1?, < ? a?nadm2?, < ? a?nadul/adll?, < ? a?nads?, < (a?n,xnnc! a)
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 400 2014.10.31 12.7.2 ?????? ? 12-24 ?????? ? adrck adul/adll ?????? adcr ? adcrh ? a?n?? a?nper0?, < a?nadpc?, <
`pmc?, < a?npm?, < per0?, <,xadcen!5b?1???ojs? 0? a?n?3eg9? ani0ani3 6??e?e?adpc?, a?n? ani16?ani17?ani196??e?e?pmc?, a?n? 0? a?neg9??? ? adm0?, < fr2fr0!?lv1!?lv0!?a?na/de@6k? ? adm1?, < adtmd1!?adtmd0!?a?n.@?o 1y??? adscm!?e24e@6?? ) ?e@6?? ? adm2?, < adrefp1!?adrefp0!?adrefm!?ey? ??+ _$d? adrck!?area1?area35area2ey?{*? ?,xa/de@6 4p!ew,x8 ? adtyp!?8!10!e|)[ ? adul/adll?, < a?na/de@64p!ew,xtl$
`?l$? ? ads?, < ads4ads0!?ey??3eg9e?f'? a?nadce! adm0?, <,xadce!5b?1?e9a/de@6y(??? ??+ _0n1ykaudb e?e?ec ??+ _,x0n1yk(1s)e> aud? e?e?{*.@?o ??a/de@6 a/de@643 a/de@6e?/? .@?o y(?? {*a/de@643?(intad) "? ? e@64p,adcr ?, <
`adcrh?, < e@64p,adcr?, <
`adcrh?, <? a?nadcs! 43 ??+ _0n1ykb,xaud aadm0?, <,xadcs!5b?1? e9.@?o y(??? ??+ _0n1ykauda  adrefp1!
`adrefp0!,xl??u ??+ _0n1yka? 'adrefp1 !?adrefp0! ?1???0?a=5s? 'adrefp1 !?adrefp0! ?0???0?5?0???1?l??u1y? ? a?nadm0?, < ? a?nadm1?, < ? a?nadm2?, < ? a?nadul/adll?, < ? a?nads?, < (a?n,xnnc! a)
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 401 2014.10.31 12.7.3 ????? ? 12-25 ????? ? adrck adul/adll ?????? adcr ? adcrh ? a?n?? a?nper0?, < a?nadpc?, <
`pmc?, < a?npm?, < per0?, <,xadcen!5b?1???ojs? 0? a?n?3eg9? ani0ani3 6??e?e?adpc?, a?n? ani16?ani17?ani196??e?e?pmc?, a?n? 0? a?neg9??? ? adm0?, < fr2fr0!?lv1!?lv0!?a?na/de@6k? ? adm1?, < adtmd1!?adtmd0!?a?n.@?o 1y??? adscm!?e24e@6?? ) ?e@6?? adtrs1!?adtrs0!?ey?.@?o  ?? ? adm2?, < adrefp1!?adrefp0!?adrefm!?ey? ??+ _$d? adrck!?area1?area35area2ey?{*? ?,xa/d e@64p!ew,x8 ? awc!?a?nsnooze??s6?? adtyp!?8!10!e|)[ ? adul/adll?, < a?na/d e@64p!ew,xtl$
`?l$? ? ads?, < ads4ads0!?ey??3eg9e?f'? a?nadce! adm0?, <,xadce!5b?1?e9a/de@6y(??? {*.@?o ??a/de@6 a/de@643 a/de@6e?/? {*a/de@643?(intad) "? ? e@64p,adcr ?, <
`adcrh?, < e@64p,adcr?, <
`adcrh?, <? a/d+ $d,x0n1yk 7?|a/d+ $d,x0n1yke> aud? 43 ??+ _ 0n1yk,xaud a??a/d e@6? ??+ _0n1ykauda  adrefp1!
`adrefp0!,xl??u ??+ _ 0n1yk a ? 'adrefp1 !?adrefp0! ?1???0?a=5s? 'adrefp1 !?adrefp0! ?0???0?5?0???1?l??u1y? ? a?nadm0?, < ? a?nadm1?, < ? a?nadm2?, < ? a?nadul/adll?, < ? a?nads?, < (a?n,xnnc! a)
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 402 2014.10.31 12.7.4 ???? / ????? ?????? ? 12-26 ???? / ????? ? adrck adul/adll ?????? adcr ? adcrh ? ? ? hs ??? a?n?? a?nper0?, < per0?, <,xadcen!5b?1???ojs? ? adm0?, < fr2 fr0!?lv1!?lv0!?a?na/de@6k? ? adm1?, < adtmd1!?adtmd0!?a?nec?o ??? adscm!? ) ?e@6?? ? adm2?, < adrefp1!?adrefp0!?adrefm!?ey? ??+ _$d? adrck!?area1?area35area2ey?{*? ?,xa/de@6 4p!ew,x8 ? adtyp!?8!10!e|)[ ? adul/adll?, < a?na/de@64p!ew,xtl$
`?l$? ? ads?, < adiss!?ads4ads0!?ey?#yz? `adcrh?, < e@64p,adcr?, <
`adcrh?, <? 11 ? a /de@6 12 ? a /de@6 ? a?nadm0?, < ? a?nadm1?, < ? a?nadm2?, < ? a?nadul/adll?, < ? a?nads?, < a?nadcs! ??a/de@6 a/de@643 {*a/de@643?(intad) "? ? adm0?, <,xadcs!5b?1???a/de@6? a?nadcs! e?e?ec ??+ _,x0n1yk(1s)e> aud? 43 ??+ _0n1ykb,xaud aadm0?, <,xadcs!5b?1? ??a/de@6?
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 403 2014.10.31 12.7.5 ??? ? 12-27 ??? ? adrck adul/adll ?????? adcr ? adcrh ? ? a/d ??? ?21.10 a/d ? ? a?n?? a?nper0?, < per0?, <,xadcen!5b?1???ojs? ? adm0?, < fr2fr0!?lv1!?lv0!?a?na/de@6k? ? adm1?, < adtmd1!?adtmd0!?a?nec?o ??? adscm!?a?n ) ?e@6??? ? adm2?, < adrefp1!?adrefp0!?adrefm!?ey? ??+ _$d? adrck!?{*? ?,xa/de@64p!ew,x8 a?narea2? adtyp!?10!e|)[ ? adul/adll?, < a?nadul=ffh?adll=00h(??)? ? ads?, < ads4ads0!?a?nani0? ? adtes?, < adtes1!?adtes0!?av refm /av refp a?nadce! adm0?, <,xadce!5b?1?e9a/de@6y(??? ??+ _0n1ykaudb ??a/de@6 a/de@643 e@64p,adcr ?, <
`adcrh?, < e@64p,adcr?, <
`adcrh?, <? 43 ??+ _0n1ykb,xaud aadm0?, <,xadcs!5b?1? ??a/de@6? ??+ _0n1ykauda  adrefp1!
`adrefp0!,xl??u ??+ _0n1yka? 'adrefp1 !?adrefp0! ?1???0?a=5s? 'adrefp1 !?adrefp0! ?0???0?5?0???1?l??u1y? ? a?nadm0?, < ? a?nadm1?, < ? a?nadm2?, < ? a?nadul/adll?, < ? a?nads?, < ? a?nadtes?, < (a?n,xnnc! a) a?nadcs! {*a/de@643?(intad) "? ? a/de@6e?/? e?e?ec ??+ _,x0n1yk(1s)e> aud?
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 404 2014.10.31 12.8 snooze ?? stop ???? a/d ???? stop ???? a/d ? ???? cpu ???? a/d ? snooze ??? adul ? adll ??????? a/d ??????? a/d ?? snooze ??????? ? ???? ??????? ? ????? f clk ?? snooze ?? ? 12-28 ? snooze ????? ? snooze ????? stop ??????? ? 12.7.3 ? ??? ? ? 2 ? a/d ???? 2 adm2 bit2 awc ?1? ??? ?? a/d ???? 0 adm0 bit0 adce ?1? ?? stop ???? a/d ??????? ??? a/d ????? a/d ? a/d ? snooze ?????? ? 1 ? 1. a/d ????? adrck adul/adll ???? 2. ? adm1 ? ?e1h? ?e2h? ?e3h? ? ?? elc ?? intit ? a/d ???? 1 adm1 ?? 12!klhn < a/de@6 < a/de@643? a?" ? "?1 (intad) js *+ c? p?eyf?95 <js .@?o ,xeg9 jsa?" ? (yf? ?)
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 405 2014.10.31 (1) a/d ?? a/d ?? a/d ??? ? adrck adul/adll ?? ???? a/d ?? intad ? ??? a/d ? a/d ?? intad a/d ?? snooze ??? ?????? a/d ???? 2 adm2 bit2 awc=0 snooze ?? awc ?1? ???? a/d ? snooze ?? ????? (2) a/d ???? a/d ?? a/d ??? ? adrck adul/adll ?? ???? a/d ?? intad ? ??? a/d ?? intad ?? ??? a/d ? ????????????? snooze ?? a/d ?
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 406 2014.10.31 ? 12-29 snooze ??? ? 1. adrck adul/adll ?? a/d ?? intad ? adcr ? adcrh ???? stop ????? snooze ?? a/d ? 2. awc ?1? ???? a/d ? snooze ??????? awc ?0? awc = 1 e@/? stop ?? awc = 0 {* intad? yes no snooze ??,xjs a?" ?(yf? ?) 7?| ?"+ g stop snooze a?n?? a?nper0?, < a?nadpc?, <
`pmcx?, < a?npmx?, < per0?, <,xadcen!5b?1???ojs? 0? a?n?3eg9? ani0ani36??e?e?adpc?, a?n? ani16?ani17?ani196??e?e?pmcx ?, a?n? 0? a?neg9??? ? adm0?, < fr2fr0!?lv1!?lv0!?a?na/de@6k? ? adm1?, < adtmd1!?adtmd0!?a?n.@?o 1y??? adscm!? ) ?e@6?? adtrs1!?adtrs0!?ey?.@?o  ?? ? adm2?, < adrefp1!?adrefp0!?adrefm!?ey? ??+ _? adrck!?area1?area35area2ey?{*? ?,xa/d e@64p!ew,x8 ? adtyp!?8!10!e|)[ ? adul/adll?, < a?na/de@64p!ew,xtl$
`?l$? ? ads?, < ads4ads0!?ey??3eg9e?f'? a?nadce! adm2?, <,x awc !5b?1?e9a/de@6y(??? adm2?, <,x awc !#?0??l8 snooze ?? "?2 ? g?ue@/? stop ??!adm2?, <,x awc !5b?1?ja?na? snooze ??? {*.@?o a/de@643 e? e> a/de@6e?/? {*a/d e@643?(intad) "?1 ? e@64p,adcr ?, <
`adcrh?, < e@64p,adcr?, <
`adcrh?, <? {*.@?o a7?|a/d+ $d,x0n1yke> audj e?e? snooze ????a/de@6? ??+ _0n1ykauda  adrefp1!
`adrefp0 !,xl??u ??+ _0n1yk a ? 'adrefp1!?adrefp0! ?1???0?a=5s? 'adrefp1!?adrefp0! ?0???0?5?0???1?l??u1y? ? a?nadm0?, < ? a?nadm1?, < ? a?nadm2?, < ? a?nadul/adll?, < ? a?nads?, < (a?n,xnnc! a) e? e> e? e> ?? ??
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 407 2014.10.31 12.9 a/d ??? ? a/d ???? (1) ? ???????? 1 ????? 1 lsb least significant bit 1 lsb ?????? %fsr full scale range ?? 10 ? 1 lsb=1/2 10 =1/1024 =0.098%fsr ????? (2) ? ??????????? ?? ??? (3) ???????? 1/2lsb a/d ? 1/2 lsb ??? ?????? ?????? ? 12-30 ? ? 12-31 )?,4? 0 0 1 1 d+eg? 4e a?a ?3eg9 av ref 0 0 0 1 1 d+eg? ga?a 1/2lsb 1/2lsb ?3eg9 0 av ref
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 408 2014.10.31 (4) ? ?? 0??000 ? 0??001 ??????? 1/2 lsb ??????? 0??001 ? 0??010 ??? ???? 3/2 lsb ? (5) ? ?? 1??110 ? 1??111 ??????? ? -3/2 lsb ? (6) ???????????? 0 ???? ???? (7) ? ??? 1lsb ????????? ? 12-32 ? ? 12-33 ? 111 011 010 001 lza?a )?,4? 000 0a v ref d+eg?("3!) ?3eg9(v) av ref 1 1024 av ref 2 1024 av ref 3 1024 111 110 101 000 0 $za?a )?,4? ?3eg9(v) d+eg?("3!) av ref av ref 1021 1024 av ref 1022 1024 av ref 1023 1024
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 409 2014.10.31 (8) ?? ???????? ????? (9) ? ??????? & ???? on ?? ? 12-34 ? 12-35 ? 0 av ref d+eg? ?3eg9 /?4??a?a )?,4? 1 1 0 0 0 av re f d+eg? ?3eg9 ?4??a?a 1 1 0 0 )??z 1l sb g? k e@6k
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 410 2014.10.31 12.10 a/d ?? (1) stop ??? ??? stop ????? a/d ? a/d ???? 0 adm0 bit7 adcs ?0? ?? adm0 ? bit0 adce ?0? ???????? 1h if1h bit0 adif ?0? ? (2) ani0 ani3 ani16 ani17 ani19 ?? ani0 ani3 ani16 ani17 ani19 ?? v dd av refp v ss av refm ????????????? ??? ???? 1.45v ? a/d ? + ????? ads ?? ??????? ads ???????? ? ? hs ?????? 1.45v (3) ? ?? a/d ?? adcr adcrh adcr ? adcrh ? ?? ? adcr ? adcrh ????? adcr ? adcrh ? ?? adcr ? adcrh ? a/d ???? 0 adm0 ? ??? ads a/d ?? adpc ? adm0 ads adpc ? adcr ? adcrh ??? ? intad (4) ? ?? 10 ???? av refp v dd ani0 ani3 ani16 ani17 ani19 ? ????????? ??????? ? 12-36 ?? ?? ???? halt ?????
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 411 2014.10.31 ? 12-36 ??? (5) ? anin ?? ani0 ani3 ani16 ani17 ani19 ??? p20 p23 p01 p00 p120 ? ?? ani0 ani3 ani16 ani17 ani19 ? a/d ??? p20 p23 p01 p00 p120 ?????? ? a/d ?? / ????? ?? a/d ???^ (6) ? anin ? a/d ???????? ?????????? ???????? 1k ?? 1k ???? ani0 ani3 ani16 ani17 ani19 0.1 f ?? ? 12-36 ?? adcs ?0? ??????? ? adcs ?0? ????????????????? ???????????????? ?? (7) ?? adif ????? ads ?? adif ?0? ? a/d ????? ads ???? a/d ? adif ????? ads ? adif ??? a/d ??? adif ? ???? a/d ????? adif ? ?0? av refp 5v dd ani0ani3?ani16?ani17?ani19 ??+ _eg9 c= 10pf0.1f 'y ?6?eg9?bav refp
`v dd 5 ?bav refm
`v ss ,x >??no* v f ?,x( ?b0.3v)`u1ue> j? !?
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 412 2014.10.31 ? 12-37 a/d ??? (8) a/d ???? ???????? adce ?1? 1 s ? adcs ?1? ? a/d ?? a/d ???????? a/d ? intad ????? (9) a/d ?? adcr adcrh ? a/d ???? 0 adm0 ???? ads a/d ?? adpc ?????? pmc ? adcr ? adcrh ???? adm0 ads adpc pmc ?????????  mads ? m ads ? (?? anin e@6) a /d e@6 adcr adif anin anin anim anim anin anin anim anim (?? anim e@6) ? adif 5b! anim e@6e?t43?
R7F0C01592esn r7f0c01692esn 12 a/d ? r01uh0453cj0210 rev.2.10 413 2014.10.31 (10) ? ???? ? 12-38 anin ?? 12-4 ??? ? ? 12-4 ?????? (11) a/d ?? av refp v dd ???? a/d ? av refp v dd anin r1[k ] c1[pf] c2[pf] 3.6v v dd 5.5v ani0 ani3 14 8 2.5 ani16 ani17 ani19 18 8 7.0 2.7v v dd 3.6v ani0 ani3 39 8 2.5 ani16 ani17 ani19 53 8 7.0 a nin c1 c2 r1
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 414 2014.10.31 13 ? / ?? ?????? 13.1 ? ? ? 2 ? cmp0 cmp1 ? + cmp0p cmp1p ? ?????? + ?? ? ????????? v dd /av refp v ss /av refm ?? 256 ?? ? ??? ? ?????? ? ???????? elc ? ??? pwm ?????? hi-z ?? ? ??????? ?? ? ?????? 4 ??? ? ?????? + ?? ? ????? a/d ??? ????????? ? 13-1 13-1 ?
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 415 2014.10.31 ? 13-1 ?????? 13-1 ?? / cmp0p ? 0 ? cmp1p ? 1 ? pgai ?? c1op c1oe c1ie c1fck c1epo c1edg c1enb c1mon cmp1p cmp0p cmp1 cmp1hzo + - + - cvre1 c1vrs7-0 cmpsel0 cmpsel1 pgai v dd av refp cvrvs0 v ss av refm cvrvs1 v dd av refp cvrvs0 v ss av refm cvrvs1 cmp0hzo cmp0 pgaen pgavg1 pgavg0 cvre0 c0vrs7-0 c0enb c0mon c0fck c0epo c0edg c0op c0oe c0ie pga {
?, < !ew ?, < !ew <$?"? {
?, < !ew <?? a?n?, < !ew <yf? ??+ _ ey??, <0 !ew <yf? ??+ _ {
?, < cmp1 ? elc _ (trd 
t!6) (trd 
t!6) pgao cmp0 ? elc _ ey? < ey? < {
+ c? {
+ c? ?4/?r,? ? < eg? ?, + c? e?"? ?# + c? >? $?"? < eg? ?, + c? e?"? ?# + c? >? $?"? < ey? < ey? < ey? < ey? < !ew ?, < !ew <$?"? {
?, < !ew <?? a?n?, < !ew ?, <
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 416 2014.10.31 13.2 ?? ????????? 13-2 ? 13-2 ?? ? ? ? ? ????? compmdr 00h f0340h 1 8 ???? compfir 00h f0341h 1 8 ??? compocr 00h f0342h 1 8 ?????? cvrctl 00h f0343h 1 8 ?????? 0 c0rvm 00h f0344h 8 ?????? 1 c1rvm 00h f0345h 8 pga ?? pgactl 00h f0346h 1 8 6 pwm ???? opmr 00h f026ch 8 6 pwm ???? opsr 00h f026dh 8 6 pwm ? hi-z ?? ophs 00h f026eh 8 6 pwm ? hi-z ??? opht 00h f026fh 8 ? 1 per1 00h f007ah 8 ????? 0 pmc0 ffh f0060h 8 ????? 12 pmc12 ffh f006ch 8 ???? 0 pm0 ffh fff20h 8 ???? 12 pm12 ffh fff2ch 8
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 417 2014.10.31 13.2.1 ????? compmdr ????????? 1 8 ?? cienb ?? cimon ????????? ?00h? ? 13-2 ????? compmdr ?? ? ? i compocr ? cioe =1 ?? cienb ?0? ? ?1? ? i ? ????? i ? cvrctl ? cvrei =0 ? ? ? pga ??? pga cvrctl ? cmpseli =1 pgactl ? pgaen =0 ? ? i=0 1 ? f0340h 00h r/w compmdr c1mon 0 0 c1enb ? c0mon 0 0 c0enb ? c1mon ? 1 ??? 0 cmp1p ? 1 ?? 1 cmp1p ? 1 ?? c1enb ? ? 1 0 ?? 1 1 ? 1 c0mon ? 0 ??? 0 cmp0p ? 0 ?? 1 cmp0p ? 0 ?? c0enb ? ? 0 0 ?? 0 1 ? 0 7 654 3 210
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 418 2014.10.31 13.2.2 ???? compfir ??????????? 1 8 ???? ????????? ?00h? ? 13-3 ???? compfir ?? ? f0341h 00h r/w compfir c1edg c1epo c1fck c0edg c0epo c0fck c1edg ? 1 ?? ? 1 0 ? 1 ? 1 ? 1 ?? c1epo ? 1 ?? ? 1 0 ? 1 ? 1 ? 1 ?? c1fck ? 1 ?? ? 1 bit5 bit4 0 0 ? 1 ?? 0 1 ? 1 ?? f clk 10 ? 1 ?? f clk /8 11 ? 1 ?? f clk /32 c0edg ? 0 ?? ? 2 0 ? 0 ? 1 ? 0 ?? c0epo ? 0 ?? ? 2 0 ? 0 ? 1 ? 0 ?? c0fck ? 0 ?? ? 2 bit1 bit0 0 0 ? 0 ?? 0 1 ? 0 ?? f clk 10 ? 0 ?? f clk /8 11 ? 0 ?? f clk /32 76543210
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 419 2014.10.31 ? 1. c1fck1 c1fck0 c1epo c1edg ??? 1 elc ???? elc elselr17 ? ?0? ?? 1 ?? ?? 2h if2h bit0 cpmif1 ?0? c1fck1 c1fck0 ?00b? ? 1 ??? ?00b? ? ? 1 ?? ???? 4 ??? 1 elc ??? 2. c0fck1 c0fck0 c0epo c0edg ??? 0 elc ???? elc elselr16 ? ?0? ?? 0 ?? ?? 2l if2l bit7 cpmif0 ?0? c0fck1 c0fck0 ?00b? ? 0 ??? ?00b? ? ? 0 ?? ???? 4 ??? 0 elc ???
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 420 2014.10.31 13.2.3 ??? compocr ??????????? 1 8 ???? ????????? ?00h? ? 13-4 ??? compocr ?? ? f0342h 00h r/w compocr 0 c1op c1oe c1ie 0 c0op c0oe c0ie c1op ? 1 ?? ? 1 0 ? 1 ? 1 ? 1 ? c1oe ? 1 ? 1 2 0 ??? 1 cmp1hzo ? ?l? ? 1 ? 1 cmp1hzo c1ie ? 1 ? 3 0 ?? 1 1 ? 1 c0op ? 0 ?? ? 1 0 ? 0 ? 1 ? 0 ? c0oe ? 0 ? 1 4 0 ??? 0 cmp0hzo ? ?l? ? 1 ? 0 cmp0hzo c0ie ? 0 ? 5 0 ?? 0 1 ? 0 76 5 4 32 1 0
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 421 2014.10.31 ? 1. cioe ciop ?? i ?? pwm ?????? pwm ?? ?? 2. c1oe ??? 1 elc ???? elc elselr17 ?0? ?? 1 ????? 2h if2h bit0 cpmif1 ?0? 3. c1ie ?0? ?? 1 ??? ?1? ? 1 ??????? cmpif1 ??? ?1? ?????? 2h if2h bit0 cpmif1 ?0? ?? 4. c0oe ??? 0 elc ???? elc elselr16 ?0? ?? 0 ????? 2l if2l bit7 cpmif0 ?0? 5. c0ie ?0? ?? 0 ? ?1? ? 0 ????? cmpif0 ??? ?1? ?????? 2l if2l bit7 cpmif0 ?0? ?? ? ? cioe ?1? ? i ? ?? i compmdr ? cienb =0 ? ? ????? i ? cvrctl ? cvrei =0 ? ? ? pga ??? pga cvrctl ? cmpseli =1 pgactl ? pgaen =0 ? ? i=0 1
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 422 2014.10.31 13.2.4 ?????? cvrctl ??????????? 1 8 ???? ????????? ?00h? ? 13-5 ?????? cvrctl ?? ? 1. ??? i cienb=0 ? cmpseli 2. ????? i ? cvrei=0 ? cvrvsi ? i=0 1 ? f0343h 00h r/w cvrctl 0 cmpsel1 ? 1 cvre1 cvrvs1 ? 2 0 cmpsel0 ? 1 cvre0 cvrvs0 ? 2 cmpsel1 ? 1 0 ? cmp1p ?? 1 + ? 1 ? pga ?? 1 + ? cvre1 0 ????? 1 ? 1 ??? 1 ? cvrvs1 ? 2 0 ? v ss ? v ss ????? gnd pga gnd 1 ? av refm ???? gnd pga gnd cmpsel0 ? 1 0 ? cmp0p ?? 0 + ? 1 ? pga ?? 0 + ? cvre0 0 ????? 0 ? 1 ??? 0 ? cvrvs0 ? 2 0 ? pv dd ? v dd ??????? 1 ? av refp ?????? 765 4321 0
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 423 2014.10.31 13.2.5 ?????? i cirvm ??????? 8 ???? ????????? ?00h? ? 13-6 ?????? i cirvm ?? ? ????? i ? cvrei=0 ? cirvm ? ? i=0 1 ? f0344h c0rvm f0345h c1rvm 00h r/w cirvm civrs7 civrs6 civrs5 civrs4 civrs3 civrs2 civrs1 civrs0 civrs7 civrs0 ? ? i ???? 00000000 {(av refp pv dd )/256} 0 00000001 {(av refp pv dd )/256} 1 00000010 {(av refp pv dd )/256} 2 ? ? 11111101 {(av refp pv dd )/256} 253 11111110 {(av refp pv dd )/256} 254 11111111 {(av refp pv dd )/256} 255 76543210
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 424 2014.10.31 13.2.6 pga ?? pgactl ??? pga ????? 1 8 ???? ????????? ?00h? pgaen ???? pga pgaen=0 ??? ? 13-7 pga ?? pgactl ?? ? ?? pga pgaen=0 ? pgavg1 pgavg0 13.2.7 6 pwm ???? opmr opmr ?? pwm ??????? trdiob0 trdiod0 trdioa1 trdioc1 trdiob1 trdiod1 ??? hi-z ?? ? rd 6 pwm ??? hi-z ???? ? 8 ??? opmr ? ????????? ?00h? ? 13-8 6 pwm ???? opmr ?? ? f0346h 00h r/w pgactl pgaen 0 0 0 0 0 pgavg1 ? pgavg0 ? pgaen 0 ?? pga 1 pga pgavg1 ? pgavg0 ? 0 0 ? 4 gain 0 1 ? 8 gain 10 ? 16 gain 11 ? 32 gain ? f026ch 00h r/w o p m r000000h d m0 hdm pwm ??? 0 2 ??? 1 / ?? 7 6543210 76543210
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 425 2014.10.31 13.2.8 6 pwm ???? opsr opsr ??? pwm ???????? ? 8 ?? opsr ? ????????? ?00h? ? 13-9 6 pwm ???? opsr ?? 13.2.9 6 pwm ? hi-z ?? ophs ophs ?? hi-z ???? rd 6 pwm ?? hi-z ??? ?1? ? ophs0 ??? hi-z ?? hzof=1 ophs0 ? 8 ?? ophs ? ????????? ?00h? ? 13-10 6 pwm ? hi-z ?? ophs ?? ? ophs ???? ?00h? ? f026dh 00h r o p s r00000h z o fh z i f 1h z i f 0 hzof hi-z ????? 0 ??? 1 hi-z ?? hzif1 ?? 1 ??? 0 ?? 1 ???? 1 ?? 1 ???? hzif0 ?? 0 ??? 0 ?? 0 ???? 1 ?? 0 ???? ? f026eh 00h w o p h s0000000o p h s 0 ophs0 ?? hi-z ? 0 1 hi-z ???? ? hi-z 76543210 76543210
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 426 2014.10.31 13.2.10 6 pwm ? hi-z ??? opht opht ?? hi-z ???? rd 6 pwm ? hi-z ? ???? ?1? ? opht0 ?? hi-z ?? opht0 ? 8 ?? opht ? ????????? ?00h? ? 13-11 6 pwm ? hi-z ??? opht ?? ? 1. opht ???? ?00h? 2. hdm 6 pwm ???? opmr bit1 hzif0 hzif1 6 pwm ???? opsr bit0 bit1 ? f026fh 00h w o p h t0000000o p h t 0 opht0 hdm hzif1 hzif0 ?? hi-z 0 ??? 1 000 pwm ? 6 pwm hi-z ?? ? 6 pwm hi-z ?? 76543210
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 427 2014.10.31 13.2.11 ? 1 per1 per1 ?????????? ???????????? ?? pwm ????????? bit6 pwmopen bit5 oacmpen ?1? ? 1 8 ??? per1 ? ????????? ?00h? ? 13-12 ? 1 per1 ?? ? 1. ?? pwm ????? pwmopen ?1? pwmopen ? ?0? ? pwm ???????????? 2. ??? 0 1 ????? oacmpen ?1? oacmpen ? ?0? ??? 0 1 ???????????? 3. ? bit1 3 ?0? 13.2.12 ??????? ? cmp0p ? cmp1p ? pgai ? / ?????? ?????? pmxx ????? pmcxx ?1? ? f007ah 00h r/w per1 tmkaen pwmopen oacmpen trd0en 0 0 0 trj0en pwmopen ? pwm ?????? 0 ????? ? pwm ????? sfr ?pwm ?????? 1 ??? ? ? pwm ????? sfr oacmpen ?? 0 1 ?????? 0 ????? ? ? 0 1 ????? sfr ? ? 0 1 ?????? 1 ??? ? ?? 0 1 ????? sfr 7 6 5 4 3210
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 428 2014.10.31 13.3 ? ? 0 ?? 1 ???????? 13-3 ? 13-3 ???? ? 1. pr2l mk2l if2l ?? 0 ??? pr2h mk2h if2h ?? 1 ??? 2. ?????????????? 3. ?? pga ?? ? i i=0 1 ? 13-13 ??????? compmdr ? cimon ? ?1? ?????? cimon ? ?0? ???? i ?? compocr ? ciie ?1? ? i ??? ???? i ???? ? 13.3.2 ? i ? ? i=0 1 n=0 7 ? ? ?? 1 per1 cmpen 1 ??? 2 pmc0 pmc12 pmc00 pmc01 pmc120 cmp0p cmp1p pgai ??? pmc00 pmc01 pmc120 ?1? ?? pm00 pm01 pm120 ?1? ?? pm0 pm12 pm00 pm01 pm120 3pgactl pgavg0 pgcvg1 ? gain ? 3 4 pgactl pgaen 1 pga ? 3 5 ? pga ?? 10 s 6 cvrctl cmpseli ?? i + ? 3 7 cirvm civrsn ????? 8 cvrctl cvrvsi ?????? gnd 9 cvrctl cvrei 1 ??? i ? 10 ????? 20 s 11 ? cmpip ? pgai ? ? 3 ?? 12 compmdr cienb 1 ? i 13 ???? 3 s 14 compfir cifck ???????? ciepo ciedg ??? ????? 15 compocr ciop cioe ?? i ?? ciie ??? 16 pr2l pr2h ? 1 cmppr0i cmppr1i ???? 17 mk2l mk2h ? 1 cmpmki ???? 18 if2l if2h ? 1 cmpifi ?? 0 ? 2
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 429 2014.10.31 ? 13-13 ? i i=0 1 ? ? compfir ? cifck ? ?00b? ? i ?? ciedg ? ?1b? ? i ?? ?? ciedg=0 ? i ??? ciepo=0 ? i ? ? cmpifi ? (a) ? ciedg=0 ? i ??? ciepo=1 ? i ? ?? cmpifi ? (b) ? ? i=0 1 ??eg9+ _ ?3eg9+ _(v) compmdr?, <,x cimon! ?{
?, <,x cmpifi ! 1 0 1 0 e?e?/?c5b?0?? (a) (b) (a) (b)
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 430 2014.10.31 13.3.1 ? i ? i=0 1 ? i ?? compfir ? cifck ??????? i ???? 3 ?????? ? i ?????? i ??? ? 13-14 ? 13-15 ? ? 13-14 ? i ????? ? cifck ciepo ciedg compfir ? ciie compocr ? ? 13-15 ? i ? ? ? compfir ? cifck i=0 1 ? ?01b? ?10b? ?11b? ??? 13.3.2 ? i ?? 0 ?? 1 2 ??? i ??????? ? ???? i ?? compocr ? ciie ?1? ? i ??? compfir ????????? 3 ?? ? ?????? ? 13.2.2 ???? compfir ? ? 13.2.3 ??? compocr ? + - cifck = 01b = 10b = 11b f clk f clk /8 f clk /32 cmpip vrefvi cifck  00b = 00b ciedg = 1 ciepo = 0 ciepo = 1 ? elc eg?,x_ ? intcmpi ciie ciedg = 0 d+ $?"? < (3 ?, ) ?e?"? ?# )e?"? ?# g? js d+$?"? <,xeg9 g? c ?{
?, <,x cmpifi ! e?e?/?c5b?0?? 3 ? ?f?, ?? ? ,x ? 5cmpifi ! ??1?? 3 ? ? ??u1 ?  ?? >?5 cmpifi ! ??
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 431 2014.10.31 13.3.3 ?? elc ?? ??? compfir ???? elc ????? compocr ? ciie ??? elc ? ? elc elselr17 ? elselr18 ????????? ? 13-16 ? elc ??? ? ciie i = 0 1 ? ?1? ? elc ????? ciie i = 0 1 ? ?0? ??? ?0? (a) (b) (c) ? compfir ? cifck i = 0 1 ? ?00b? ? (d (e) (f) ? compfir ? cifck i = 0 1 ? ?01b? ?10b? ?11b? ? (a) (d) ciedg ? ?1? ?? (b) (e) ciedg ? ? 0? ciepo ? ? 0? ? (c) (f) ciedg ? ? 0? ciepo ? ?1? ?? a b c d e f g? c d+$?"? < ,xeg9 ?a?"? ? elc eg? ,x_ ? "? d+$?"? < ,xeg? d+$?"? <,xeg9 * ?eg? ) ?o 6y?? d+$?"? <,xeg9 * ?eg? )?o 6y?? d+$?"? <,x eg9,yeg?? d+$?"? <,x eg9 ?,eg?? 3 ?f?, ??  ?,x ?5 ?? eg?? 3 ?f?, ?? ?,x ?5 ??eg?? 3 ? ??u1 ? ??  >?eg? * ?? 3 ? ??u1 ? ??  >?eg? * ?? d+$?"? <,xeg9,yeg?? d+$?"? <,xeg9 ?,eg??
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 432 2014.10.31 13.3.4 ?????? ???? 1 per1 ??????2 ? compocr ? cioe ?0? ??? i ? if2l ? if2h ? cmpifi ?0? ?????? ? per1 ? oacmpen ?0? ?? per1 ???????????????? 13-3 ??? ? i=0 1 13.3.5 ??? rd ??? ??????? hi-z ?? rd ?? pwm ??? pwm ???? pwm ?????? rd trdioij ? hi-z ?? ? ij=b0 d0 a1 b1 c1 d1
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 433 2014.10.31 13.4 pwm ??? 2 ??? (1) 2 ?? ? ? 0 ???????? 1 ???? ? ? 1 ???????? (2) / ? ? ???? ? 0 ???? ? ? 1 ??????? ? ??? rd hi-z ??? ? 13-17 ? ? 13-17 ??? rd hi-z ??? cmp hi-z {
hi-z {
cmp0p pgai cmp1p a/d e@6 < pga cmp0hzo comp0 comp1 intcmp0 cmp1hzo intcmp1 rd intp0 elc _eg90 elc _eg91 trdiob0 trdiod0 trdioa1 trdioc1 trdiob1 trdiod1 trdioc0 pwm eynm ) 0? n < ey? < ey? < eg?t!6{

R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 434 2014.10.31 13.4.1 2 ?? ? 0 ???????? 6 pwm ? hi-z ?? ???? 2 ? ? 0 ???????? 1 ??? 6 pwm ?? hi-z ? ?????? 0 ?????????? ?? 1 ????????????? 0 ???? ??????? 13.4.2 / ? ???? ? 0 ????? 1 ??????? ? 6 pwm ? hi-z ?? ? 0 ???????? 1 ????????? ? 13.4.3 ?? ??? 0 ???? 1 ????? 0 ?? 1 + ??? ?? hi-z ? ? opmr ?????????? 13-4 ? 2 ??? trdiob0 trdiod0 ?? / ?? trdiob0 trdiod0 ?? ? 13-18 ? 13-19 ? 13-4 ?????? opmr ? ? ? ophs0 ?? opht0 hi-z hdm 0 ? 0 ?? ? 1 ? 6 pwm ? 13-18 1 ? 13-19
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 435 2014.10.31 ? 13-18 2 ??? trdiob0 trdiod0 ? ??? 0 ??? trdiob0 ? trdiod0 ?? hi-z ?? ??? 0 ???????? trdiob0 ? trdiod0 hi-z ?? ??? 1 ???? 0 ??? trdiob0 ? trdiod0 ? ? hi-z ?? ??? 1 ???? 0 ????? trdiob0 ? trdiod0 hi-z ?? ?? 0 ???? 1 ?????? opht0 ? ?1? ?? trdiob0 ? trdiod0 hi-z ?? trdioc0 eg? (pwm
<) hi-z{
! trdiob0 eg? (pwm !7,) !ew <1 !ew <0 ( ??+ _1) !ew <0eg? !ew <1eg? hi-z {
 ? trdiob0 eg? (pwm !7,) trdiod0 eg? (pwm ?,) hi-z{
! trdiod0 eg? (pwm ?,) ( ??+ _0) eq"?
< 7 < ; : 9 8
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 436 2014.10.31 ? 13-19 / ?? trdiob0 trdiod0 ? ??? 1 ??? trdiob0 ? trdiod0 ?? hi-z ?? ??? 1 ???????? trdiob0 ? trdiod0 hi-z ?? ??? 0 ??? trdiob0 ? trdiod0 ?? hi-z ?? ??? 0 ???????? trdiob0 ? trdiod0 hi-z ?? ? ??? 0 ?? 0 ????? 0 ?? 0 ????? ??? compocr c0op ?1? ? 0 ? trdioc0 eg? (pwm
<) hi-z{
! trdiob0 eg? (pwm !7,) !ew <1 !ew <0 ( ??+ _1) !ew <0eg? !ew <1eg? hi-z {
 ? trdiob0 eg? (pwm !7,) ( u?, ?) trdiod0 eg? (pwm ?,) hi-z{
! trdiod0 eg? (pwm ?,) ( ??+ _0) eq"?
< 7 : 9 8
R7F0C01592esn r7f0c01692esn 13 ? / ?? r01uh0453cj0210 rev.2.10 437 2014.10.31 13.4.4 ? ?????? rd ? ? 13-20 ? ? 13-20 ?????? rd ? ? ? / ?????? compocr c0op ?1? ? 0 ? ? ??? pwm ??????? 13.4.5 ? pwm ????? ?? pwm ????? intp0 ????? rd ???  pwmopen 5b?1? a?n opmr a?n!ew <
`pga !ew <
`pga 0!6e> ? !ew <
`pga ??e> "? ? o50!6js,xey??, <,xh!5b?0? e9pwmeynm ),x!(??0!6ojs? o50!6js,xey??, <,xh!5b?1? ?l8pwmeynm ),x!(????ojs? yf?!ew <,xeg? ?*0 hi-z ,x{
 ? ey?2/?e?+ #?#??5e?+ #ck+ #?# ??? a?nn `pga 0!6n 9pwm ????pwm eg?? a?nn e>  0!6e> 0!6
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 438 2014.10.31 14 ? ? 4 ??? uart ? 0 ? 3 ? csi ? i 2 c ??? R7F0C015 r7f0c016 ?????? ?? 0 ? 0 ? 1 ? uart0 ?? csi00 iic00 ? ? csi uart i 2 c 00 c s i 0 0 ????? uart0 iic00 1? ? 2? u a r t 1 ? 3? ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 439 2014.10.31 14.1 ?? R7F0C015 r7f0c016 ?????? 14.1.1 3 ? i/o csi00 ?? sck ?????? ? 1 ? sck 1 ? so 1 ? si 3 ?? ??????? ??? ? 14.5 3 ? i/o csi00 ?? ? [ ???? ] ?7 8 ? ? ??? ?msb/lsb ?? ? ?????? [ ?? ] ? ??? ? / ?? ? ??????? ? ? ?? max. f mck /2 ?? max. f mck /6 [ ? ] ? ?? [ ? ] ? ? csi ? snooze ?? snooze ??? stop ?????? sck ??? cpu ????? csi ? snooze ?? ?csi00 csi00 ???? ? sck ? t kcy ??? ? 28 ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 440 2014.10.31 14.1.2 uart uart0 uart1 ?? txd ?? rxd 2 ??????? 2 ? ?? ????????? ??? ?????????????? 2 ???? uart ?? [ ???? ] ?7 8 9 ? ?msb/lsb ?? ? ??????? ? ???? ? ??? [ ? ] ? ?? ? ??? [ ? ] ? ?? ? uart ? snooze ?? snooze ??? stop ?????? rxd ??? cpu ??? 000c2h frqsel4 ? ?0? ?? uart ? snooze ?? ? uart0
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 441 2014.10.31 14.1.3 i 2 c iic00 ?? scl ? sda 2 ???????? i 2 c ? eeprom a/d ?????? ???? ac ??????? ??? ? 14.8 i 2 c iic00 ?? ? [ ???? ] ? ??? ????? ?ack ? ack ? ?8 ? ????? 7 ?? r/w ? ? ???? [ ? ] ? ? [ ? ] ? ? ack ?? [ i 2 c ??? ] ? ? ? ???? ? ?? ? ?? soemn ? m soem ?0? ???? ? ack ? ?14.8.3(2) ? ? m ? m=0 n ? n=0
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 442 2014.10.31 14.2 ??? ?? 14-1 ??? ? 1. ?????? ? mn=00 01 9 ? ? 8 2. ??? sfr ??? mn sdrmn ? 8 ?csip ? ??siop csip ?? ?uartq ??rxdq uartq ?? ?uartq ??txdq uartq ?? ? iicr ? ??sior iicr ?? ? m ? m=0 n ? n=0 3 p csi ? p=00 q uart ? q=0 1 riic ? r=00 ? ? ? 8 9 ? 1 ? ?? mn sdrmn ? 8 9 ? 1 2 ? / sck00 3 ? i/o scl00 ? i 2 c si00 3 ? i/o rxd0 rxd1 uart so00 3 ? i/o txd0 txd1 uart / sda00 ? i 2 c ? ssi00 ???? ?? ???? ? ? 0 per0 ? ??? m spsm ? ???? m sem ? ??? m ssm ? ???? m stm ? ? m soem ? ? m som ? ?? m solm ? ?? m sscm ? ?? isc ? ?? 0 nfen0 ??? ? ?? mn sdrmn ? ??? mn smrmn ? ??? mn scrmn ? ??? mn ssrmn ? ?? mn sirmn ? / ?????? ? ???? 0 3 5 pom0 pom3 pom5 ? ???? 0 3 5 pm 0 pm3 pm 5 ? ??? 0 3 5 p0 p3 p5
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 443 2014.10.31 ? 0 ?? ? 14-1 ? ? 14-1 ? 0 ?? prs 013 4 prs 003 prs 012 prs 011 prs 010 prs 002 prs 001 prs 000 4 f clk f clk /2 0 f clk /2 15 f clk /2 0 f clk /2 15 cks00 md001 ccs00 md002 sts00 soe02 soe00 pm30 sau0en se03 se02 se01 se00 st03 st02 st01 st00 ss03 ss02 ss01 ss00 txe 00 rxe 00 dap 00 ckp 00 eoc 00 pect 00 ovct 00 ptc 001 slc 000 ptc 000 dir 00 slc 001 dls 001 dls 000 tsf 00 ovf 00 bff 00 pef 00 ck01 ck00 f mck f tclk f sck ck01 ck00 ck01 ck00 ck01 ck00 snfen 10 snfen 00 snfen00 snfen10 pm50 or pm51 0 sol02 0 0 0 sol00 1 cko00 so02 11 so00 0 0 00 1 1 0 0 0 0 > eg?a? ?, <0(soe0) > e?f'a? (???, <0 (se0) > e?f'0!6 ?, <0(st0) > e?f'?? ?, <0(ss0) >?$?"? <a? ?, <0(nfen0) ssec0 swc0 > y{
?, <0(ssc0) > eg?+ g ?, <0(sol0) > eg??, <0(so0) > jsey??, <0(sps0) ey? < ey? <  a??, <0 (per0) nxne < /?!?, < > db?, <00(sdr00) (4???, + c? ey? < ey? < ?{
+ c? eg?{
+ c? e?{
+ c? > ??#l8?o ?, <00(sir00) e?  (? ? ja?c #l8 > (???, <00(ssr00) ja?{
+ c? e?f'0 e?f'1 e?"?+ g ?# > e?e> a?n?, <00(scr00) uart0 > ???, <00(smr00) e?{
+ c? ja?{
+ c? e?f'2 e?f'3 e?{
+ c? e?{
+ c? ja?{
+ c? uart1 eg?j?, < (p30) ??ey? csi00 or iic00 or uart0(*b e?) > dbeg?6? (csi00?so00) (iic00 ?sda00) (uart0?txd0) > ?e?43? (csi00?intcsi00) (iic00 ?intiic00) (uart0?intst0) e?"? ?# > js eg9eg?6? (csi00?sck00) (iic00 ?scl00) > dbeg96? (csi00?si00) (iic00 ?sda00) (uart0?rxd0) > ?e?43? (uart0?intsr0) ??ey? uart0(*by
) ??ey? uart1(*by
) > ?e?ja?? (intsre0) > ?e?43? (uart1?intsr1) > ?e?ja?? (intsre1) > dbeg96? (uart1?rxd1) ??ey? uart1(*b e?) > dbeg?6? (uart1?txd1) > ?e?43? (uart1?intst1) >?#\l8 ? !9 + c? !9 + c? !9 + c? >?#\l8 ? e?"?+ g ?# e?"?+ g ?# e?"?+ g ?# eg9?6{
?, <(isc) e?"??# 2ey?eg96? (csi00?ssi00) ssie00
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 444 2014.10.31 14.2.1 ? ????? 9 ? 9 ?? uart ??? 9 bit0 8 ? 1 ???????????? ??? ? 1 ???? ???????? mn sdrmn ? 8 ? 9 14.2.2 ?? mn sdrmn ? 8 ? 9 sdrmn ?? n ????? 16 bit8 0 9 ? 1 bit7 0 8 ???? bit15 9 ? f mck ???? ?????? 8 ? 9 ??? ??? 8 ? 9 ???????? mn scrmn bit0 bit1 dlsmn0 dlsmn1 ? 8 ? 9 ? ?7 ? sdrmn ? bit0 6 ?8 ? sdrmn ? bit0 7 ?9 ? sdrmn ? bit0 8 ? 1 16 ?? sdrmn ? ??? sfr ? 8 ?? sdrmn ?? 8 ? 9 ? 2 ?csip ? ??siop csip ?? ? uartq ??rxdq uartq ?? ? uartq ??txdq uartq ?? ?iicr ? ??sior iicr ?? ??? sdrmn ??? ?0000h? ? 1. ? uart ? 9 ?? ?uart0 2. ?? semn=0 ?? 8 ?? ? 1. ?? bit0 8 ????? ?0? 2. m ? m=0 n ? n=0 3 p csi ? p=00 q uart ? q=0 1 r iic ? r=00 876543210 ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 445 2014.10.31 ? 14-2 ?? mn sdrmn mn=00 01 ?? ? sdrmn ?? 7 ?? ?14.3 ???? ? ? 14-3 ?? mn sdrmn mn=02 03 ?? ? ? bit8 ?0? ? sdrmn ?? 7 ?? ?14.3 ???? ? ? fff10h fff11h sdr00 fff12h fff13h sdr01 0000h r/w fff11h sdr00 fff10h sdr00 1514131211109876543210 sdrmn 876543210 ? ? fff44h fff45h sdr02 fff46h fff47h sdr03 0000h r/w fff45h sdr02 fff44h sdr02 1514131211109876543210 sdrmn 0 876543210 ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 446 2014.10.31 14.3 ???? ????? ? ? 0 per0 ? ??? m spsm ? ??? mn smrmn ? ??? mn scrmn ? ?? mn sdrmn ? ?? mn sirmn ? ??? mn ssrmn ? ??? m ssm ? ???? m stm ? ???? m sem ? ? m soem ? ?? m solm ? ? m som ? ?? m sscm ? ?? isc ? ?? 0 nfen0 ? ???? 0 3 5 pom0 pom3 po m5 ? ???? 0 3 5 pm0 pm3 pm5 ? ??? 0 3 5 p0 p3 p5 ? m ? m=0 n ? n=0 3
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 447 2014.10.31 14.3.1 ? 0 per0 per0 ??????????????????? ?? ???? 0 ?? bit2 sau0en ?1? ? 1 8 ??? per0 ? ??? per0 ??? ?00h? ? 14-4 ? 0 per0 ?? ? 1. ??? m ? saumen ? ?1? ????? saumen ? ?0? ? ?? m ??????????? isc ? ? 0 nfen0 ???? 0 3 5 pim0 pim3 pim5 ???? 0 3 5 pom0 pom3 pom5 ???? 0 3 5 pm0 pm3 pm5 ????? 0 pmc0 ???? 0 3 5 p0 p3 p5 ? ? ??? m spsm ? ??? mn smrmn ? ??? mn scrmn ? ?? mn sdrmn ? ?? mn sirmn ? ??? mn ssrmn ? ??? m ssm ? ???? m stm ? ???? m sem ? ? m soem ? ?? m solm ? ? m som ? ?? m sscm 2. ? ? ?0? bit1 3 4 6 7 ? f00f0h 00h r/w per0 0 0 adcen 0 0 sau0en 0 tau0en sau0en ?? 0 ??? 0 ????? ? ?? 0 ?? sfr ? ? 0 ??? 1 ??? ? ??? 0 ?? sfr 765 432 10
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 448 2014.10.31 14.3.2 ??? m spsm spsm ? 16 ???? 2 ??? ckm0 ckm1 ? spsm ? bit7 4 ? ckm1 ? bit3 0 ? ckm0 ? semn=1 spsm ? ? 16 ??? spsm ? spsml ? 8 ??? spsm ?? 8 ??? spsm ??? ?0000h? ? 14-5 ??? m spsm ?? ? ??? sau ???? f clk ? ?????? ckc ?? ??? sau ???? m stm =000fh ? ? ? bit15 8 ?0? ? 1. f clk cpu/ ??? 2. m ? m=0 3. k=0 1 ? f0126h f0127h sps0 0000h r/w 1514131211109876543210 spsm00000000prs m13 prs m12 prs m11 prs m10 prs m03 prs m02 prs m01 prs m00 prs mk3 prs mk2 prs mk1 prs mk0 ? ckmk? ? f clk =2mhz f clk =5mhz f clk =10mhz f clk =20mhz f clk =24mhz 0000 f clk 2mhz 5mhz 10mhz 20mhz 24mhz 0001 f clk /2 1mhz 2.5mhz 5mhz 10mhz 12mhz 0010 f clk /2 2 500khz 1.25mhz 2.5mhz 5mhz 6mhz 0011 f clk /2 3 250khz 625khz 1.25mhz 2.5mhz 3mhz 0100 f clk /2 4 125khz 313khz 625khz 1.25mhz 1.5mhz 0101 f clk /2 5 62.5khz 156khz 313khz 625khz 0.75mhz 0110 f clk /2 6 31.3khz 78.1khz 156khz 313khz 375khz 0111 f clk /2 7 15.6khz 39.1khz 78.1k hz 156khz 187.5khz 1000 f clk /2 8 7.81khz 19.5khz 39.1k hz 78.1khz 93.75khz 1001 f clk /2 9 3.91khz 9.77khz 19.5k hz 39.1khz 46.88khz 1010f clk /2 10 1.95khz 4.88khz 9.77k hz 19.5khz 23.44khz 1011f clk /2 11 977hz 2.44khz 4.88khz 9.77khz 11.72khz 1100f clk /2 12 488hz 1.22khz 2.44k hz 4.88khz 5.86khz 1101f clk /2 13 244hz 610hz 1.22khz 2.44khz 2.93khz 1110f clk /2 14 122hz 305hz 610hz 1.22khz 1.47khz 1111f clk /2 15 61hz 153khz 305hz 610hz 732hz
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 449 2014.10.31 14.3.3 ??? mn smrmn smrmn ??? n ?????? f mck ?????? f sck ????? csi uart i 2 c ?????? uart ?????? ? semn=1 smrmn ??? mdmn0 ? 16 ??? smrmn ? ??? smrmn ??? ?0020h? ? 14-6 ??? mn smrmn ?? (1/2) ? ? smr01 ? smr03 ? ? ? bit13 9 7 4 3 smr00 ? smr02 ??? bit13 6 4 3 ?0? ? bit5 ?1? ? m ? m=0 n ? n=0 3 p csi ? p=00 q uart ? q=0 1 riic ? r=00 ? f0110h f0111h smr00 f0116h f0117h smr03 0020h r/w 1514131211109876543210 smrmn cks mn ccs mn 00000sts mn ? 0sis mn0 ? 100md mn2 md mn1 md mn0 cks mn ? n ? f mck ? 0 spsm ??? ckm0 1 spsm ??? ckm1 ?? f mck ???? ccsmn sdrmn ?? 7 ??? f tclk ccs mn ? n ? f tclk ? 0 cksmn ?? f mck ??? 1 sckp ?? f sck csi ???? ? f tclk ???????? ccsmn ? ?0? ?? sdrmn ?? 7 ? f mck ??? sts mn ? ??? 0 ? csi uart ? i 2 c ???? 1 rxdq ? uart ?? ? ssm ? ?1? ???
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 450 2014.10.31 ? 14-6 ??? mn smrmn ?? (2/2) ? ? smr01 ? smr03 ? ? ? bit13 9 7 4 3 smr00 ? smr02 ??? bit13 6 4 3 ?0? ? bit5 ?1? ? m ? m=0 n ? n=0 3 p csi ? p=00 q uart ? q=0 1 riic ? r=00 14.3.4 ??? mn scrmn scrmn ?? n ???????????? ????????? ? semn=1 scrmn ? ? 16 ??? scrmn ? ??? scrmn ??? ?0087h? ? f0110h f0111h smr00 f0116h f0117h smr03 0020h r/w 1514131211109876543210 smrmn cks mn ccs mn 00000sts mn ? 0sis mn0 ? 100md mn2 md mn1 md mn0 sis mn0 ? uart ??? n ??? 0 ???? ??? 1 ??? ??? md mn2 md mn1 ? n ??? 00 csi ?? 01 uart ?? 10 i 2 c ?? 11 ?? md mn0 ? n ?? 0 ? 1 ? sdrmn ???? ? mdmn0 ? ?1? sdrmn ????
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 451 2014.10.31 ? 14-7 ??? mn scrmn ?? (1/2) ? 1. ? scr00 ? scr02 ? 2. ? scr00 ? scr01 ??? ?1? 3. eocmn ? ?0? ?? csimn ?? intsren ? ? bit3 6 11 ?0? ?? scr01 ? scr03 ? bit5 ? scr02 ? scr03 ? bit1 ?0? ? bit2 ?1? ? m ? m=0 n ? n=0 3 p csi ? p=00 ? f0118h f0119h scr00 f011eh f011fh scr03 0087h r/w 1514131211109876543210 scrmn txe mn rxe mn dap mn ckp mn 0eoc mn ptc mn1 ptc mn0 dir mn 0 slcm n1 ? 1 slc mn0 01 dlsm n1 ? 2 dls mn0 txe mn rxe mn ? n ??? 00 ??? 01 ?? 10 ?? 11 ??? dap mn ckp mn csi ?????? 00 1 01 2 10 3 11 4 uart ??? i 2 c ??? dapmn ckpmn ?0? eoc mn ? intsrex x=0 1 0 ? intsrex intsrx 1 intsrex ?? intsrx csi ??? i 2 c ?? uart ?? eocmn ?0? ? 3 d7 d6 d5 d4 d3 d2 d1 d0 sckp sop sipeg9c d7 d6 d5 d4 d3 d2 d1 d0 sckp sop sipeg9c d7 d6 d5 d4 d3 d2 d1 d0 sckp sop sipeg9c d7 d6 d5 d4 d3 d2 d1 d0 sckp sop sipeg9c
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 452 2014.10.31 ? 14-7 ??? mn scrmn ?? (2/2) ? 1. ? scr00 ? scr02 ? 2. ? scr00 ? scr01 ??? ?1? 3. ???? ?0? ? ? bit3 6 11 ?0? ?? scr01 ? bit5 ? scr02 ? scr03 ? bit1 ?0? ? bit2 ?1? ? m ? m=0 n ? n=0 3 p csi ? p=00 ? f0118h f0119h scr00 f011eh f011fh scr03 0087h r/w 1514131211109876543210 scrmn txe mn rxe mn dap mn ckp mn 0eoc mn ptc mn1 ptc mn0 dir mn 0 slcm n1 ? 1 slc mn0 01 dlsm n1 ? 2 dls mn0 ptc mn1 ptc mn0 uart ???? 00 ? ??? 01 ? ? 3 ? 10 ? ? 11 ? csi ??? i 2 c ??? ptcmn1 ptcmn0 ?0? dir mn csi uart ????? 0 msb ? / 1 lsb ? / ? i 2 c ??? dirmn ?0? slcm n1 ? 1 slc mn0 uart ????? 00 ?? 01 ?? =1 10 ?? =2 ? mn=00 02 11 ?? ????????? uart ?? i 2 c ???? 1 ?? slcmn1 slcmn0=0 1 uart ??? 1 slcmn1 slcmn0=0 1 2 slcmn1 slcmn0=1 0 dlsm n1 ? 2 dls mn0 csi uart ????? 01 9 ? sdrmn ? bit0 8 ? uart ??? 10 7 ? sdrmn ? bit0 6 11 8 ? sdrmn ? bit0 7 ?? ? i 2 c ??? dlsmn1 dlsmn0 ?1?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 453 2014.10.31 14.3.5 ?? mn sdrmn ? 7 sdrmn ?? n ????? 16 sdr00 sdr01 bit8 0 9 sdr02 sdr03 bit7 0 8 ???? bit15 9 ? f mck f sck ???? ??? mn smrmn ccsmn ?0? sdrmn ?? 7 ??? ????? ccsmn ?1? ?? sdr00 sdr01 bit15 9 7 ?0000000b? sckp ? ? f sck csi ??????? sdrmn ?? 8 ? 9 ????????? ? 8 ? 9 ?????? 8 ? 9 16 ?? sdrmn ??????? semn=0 ?? 7 semn=1 ? sdrmn ?? 8 ? 9 sdrmn ???? ?0? ??? sdrmn ??? ?0000h? ? 14-8 ?? mn sdrmn ?? ? 1. ? sdr02 ? sdr03 ? bit8 ?0? 2. ? uart ?? sdrmn[15:9] ?? ?0000000b? ?0000001b? 3. ? i 2 c ?? sdrmn[15:9] ?? ?0000000b? sdrmn[15:9] ??? ?0000001b? 4. ???? semn=0 ? 8 ?? 8 ?? 7 ?0? ? 1. sdrmn ?? 8 ? 9 ?? ?14.2 ??? ? 2. m ? m=0 n ? n=0 3 ? fff10h fff11h sdr00 fff12h fff13h sdr01 0000h r/w fff11h sdr00 fff10h sdr00 1514131211109876543210 sdrmn ? fff44h fff45h sdr02 fff46h fff47h sdr03 0000h r/w fff45h sdr02 fff44h sdr02 1514131211109876543210 sdrmn 0 sdrmn[15:9] ?????? 0000000 f mck /2 f sck /2 csi 0000001 f mck /4 0000010 f mck /6 0000011 f mck /8 ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? 1111110 f mck /254 1111111 f mck /256
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 454 2014.10.31 14.3.6 ?? mn sirmn ? n ??? fectmn pectmn ovctmn ?1? ???? mn ssrmn ?? fefmn pefmn ovfmn ?0? ? sirmn ??? ssrmn ???? sirmn ? ? 16 ??? sirmn ? sirmnl ? 8 ??? sirmn ?? 8 ??? sirmn ??? ?0000h? ? 14-9 ?? mn sirmn ?? ? ? sir01 ? sir03 ? ? ? bit15 3 sir00 ? sir02 ??? bit15 2 ?0? ? 1. m ? m=0 n ? n=0 3 2. sirmn ???? ?0000h? ? f0108h f0109h sir00 f010eh f010fh sir03 0000h r/w 1514131211109876543210 sirmn0000000000000fec tmn ? pec tmn ovc tmn fec tmn ? ? n ?? 0 1 ssrmn ? fefmn ?0? pec tmn ? n ?? 0 1 ssrmn ? pefmn ?0? ovc tmn ? n ? 0 1 ssrmn ? ovfmn ?0?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 455 2014.10.31 14.3.7 ??? mn ssrmn ssrmn ??? n ????????? ? 16 ??? ssrmn ? ssrmnl ? 8 ??? ssrmn ?? 8 ??? ssrmn ??? ?0000h? ? 14-10 ??? mn ssrmn ?? (1/2) ? 1. ? ssr01 ? ssr03 ? 2. ? ssr00 ssr01 ssr03 ? 3. ? ssr00 ? ssr02 ? ? bffmn ? ?1? ? sdrmn ????????? ovemn=1 ? m ? m=0 n ? n=0 3 ? f0100h f0101h ssr00 f0106h f0107h ssr03 0000h r 1514131211109876543210 ssrmn000000000tsf mn ? 3 bff mn ? 3 00fef mn ? 1 pef mn ? 2 ovf mn ? 2 tsfmn ? 3 ? n ?????? 0 ??????? 1 ???? [ ] ? stm ? stmn ?1? ?????? ssm ? ssmn ?1? ????? ? ??? [ ] ? ??? bffmn ? 3 ? n ????? 0 sdrmn ???? 1 sdrmn ?? [ ] ? ?? sdrmn ???? ? ?? sdrmn ?? ? stm ? stmn ?1? ?????? ssm ? ssmn ?1? ???? [ ] ? scrmn ? txemn ? ?1? ????????????? sdrmn ? ? ? scrmn ? rxemn ? ?1? ?????????????? sdrmn ?? ? ??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 456 2014.10.31 ? 14-10 ??? mn ssrmn ?? (2/2) ? 1. ? ssr01 ? ssr03 ? 2. ? ssr00 ssr01 ssr03 ? 3. ? ssr00 ? ssr02 ? ? snooze ?? swcm=1 csi ? ovfmn ?? ? m ? m=0 n ? n=0 3 ? f0100h f0101h ssr00 f0106h f0107h ssr03 0000h r 1514131211109876543210 ssrmn000000000tsf mn ? 3 bff mn ? 3 00fef mn ? 1 pef mn ? 2 ovf mn ? 2 fefmn ? 1 ? n ??? 0 ? 1 uart ? [ ] ? sirmn ? fectmn ? ?1? ? [ ] ? uart ??????? pefmn ? 2 ? n ??? 0 ? 1 uart ?? ack i 2 c ? [ ] ? sirmn ? pectmn ? ?1? ? [ ] ? uart ?????? ?? ? i 2 c ? ack ?? ack ? ? ack ? ovfmn ? 2 ? n ?? 0 ? 1 [ ] ? sirmn ? ovctmn ? ?1? ? [ ] ? scrmn ? rxemn ? ?1? ????????????? ? sdrmn ?????? ? csi ??????????
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 457 2014.10.31 14.3.8 ??? m ssm ssm ???? / ??? ssmn ?1? ????? m sem ?? semn ?1? ??? ssmn ? semn ? ?1? ssmn ? 16 ??? ssm ? ssml ? 1 8 ??? ssm ?? 8 ??? ssm ??? ?0000h? ? 14-11 ??? m ssm ?? ? ?? ssmn ?1? ??????????? sckmn ? somn ? fefmn ? pefmn ? ovfmn ??? ? 1. ? ss0 ? bit15 4 ?0? 2. uart ?? scrmn ? rxemn ?1? ? 4 f mck ??? ssmn ?1? ? 1. m ? m=0 n ? n=0 3 2. ssm ???? ?0000h? ? f0122h f0123h ss0 0000h r/w 1514131211109876543210 ss0000000000000ss03ss02ss01ss00 ssmn ? n ?? 0 ? 1 semn ?1? ?????? ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 458 2014.10.31 14.3.9 ???? m stm stm ???? / ???? stmn ?1? ????? m sem ?? semn ?0? ????? stmn ? semn ? ?0? stmn ? 16 ??? stm ? stml ? 1 8 ??? stm ?? 8 ??? stm ??? ?0000h? ? 14-12 ???? m stm ?? ? ???? sckmn ? somn ? fefmn ? pefmn ? ovfmn ??? ? ? st0 ? bit15 4 ?0? ? 1. m ? m=0 n ? n=0 3 2. stm ???? ?0000h? ? f0124h f0125h st0 0000h r/w 1514131211109876543210 st0000000000000st03st02st01st00 stmn ? n ?? 0 ? 1 semn ?0? ??? ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 459 2014.10.31 14.3.10 ???? m sem sem ???????????? ?? m ssm ?? ?1? ?? ?1? ???? m stm ?? ?1? ?? ?0? ? n ???? m som ckomn ? n ? ??????? ??? n ?? som ? ckomn ????? ???????? ? 16 ??? sem ? seml ? 1 8 ??? sem ?? 8 ??? sem ??? ?0000h? ? 14-13 ???? m sem ?? ? m ? m=0 n ? n=0 3 ? f0120h f0121h se0 0000h r 1514131211109876543210 se0000000000000se03se02se01se00 semn ? n ?????? 0 ???? 1 ??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 460 2014.10.31 14.3.11 ? m soem soem ???????? ?? n ???? m som somn ? ??? ??? n ?? som ? somn ??? ????????? ? 16 ??? soem ? soeml ? 1 8 ??? soem ?? 8 ??? soem ??? ?0000h? ? 14-14 ? m soem ?? ? ? soe0 ? bit15 3 bit1 ?0? ? m ? m=0 n ? n=0 2 ? f012ah f012bh 0000h r/w 1514131211109876543210 soe00000000000000soe 02 0soe 00 soe mn ? n ?? 0 ???? 1 ???
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 461 2014.10.31 14.3.12 ? m som som ????? ? n ??? somn ? ? n ???? ckomn ? ???? soemn=0 ??? somn ? soemn=1 ?????????? somn ? ????? semn=0 ??? ckomn ? semn=1 ?????????? ckomn ? ???????????? ckomn somn ?1? ? 16 ??? som ? ??? som ??? ?0f0fh? ? 14-15 ? m som ?? ? ? so0 ? bit15 12 bit7 4 ?0? ? so0 ? bit11 9 3 1 ?1? ? m ? m=0 n ? n=0 2 ? f0128h f0129h 0f0fh r/w 1514131211109876543210 so00000111cko 00 00001so 02 1so 00 cko mn ? n ?? 0 ???? ?0? 1 ???? ?1? so mn ? n ? 0 ??? ?0? 1 ??? ?1?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 462 2014.10.31 14.3.13 ?? m solm solm ?????? ? uart ????? csi ??? i 2 c ???? ?0? ??? soemn=1 ???? n ????? soemn=0 somn ?? ? semn=1 solm ? ? 16 ??? solm ? solml ? 8 ??? solm ?? 8 ??? solm ??? ?0000h? ? 14-16 ?? m solm ?? ? ? sol0 ? bit15 3 bit1 ?0? ? m ? m=0 n ? n=0 2 uart ???? ? 14-17 ? ? 14-17 ??? ? m ? m=0 n ? n=0 2 ? f0134h f0135h sol0 0000h r/w 1514131211109876543210 sol00000000000000sol 02 0sol 00 sol mn uart ??? n ??? 0 ?? 1 ?? (a) !7,eg? (solmn = 0) (b) ?,eg? (solmn = 1) st p s solm = 0 ,x eg? sout0n st p s solm = 1 ,x eg? sout0n e?db e?db( ?,)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 463 2014.10.31 14.3.14 ?? m sscm ssc0 ?? csi00 uart0 ??? stop ?? snooze ?? ?? ? 16 ??? sscm ? sscml ? 8 ??? sscm ?? 8 ??? sscm ??? ?0000h? ? snooze ??? ?csi00 1mbps ?uart0 ? 4800bps ?? 000c2h frqsel4 ? ?0? ??? ? 14-18 ?? m sscm ?? ? ? ssecm swcm ? ?1? ?0? ? 14-19 snooze ?? uart ? ? f0138h f0139h ssc0 0000h r/w 1514131211109876543210 sscm00000000000000sse cm swc m sse cm snooze ???????? 0 intsre0 1 ?? intsre0 ? snooze ?? uart ?? swcm ? ?1? eocmn ? ?1? ?? ssecm ?1? ?0? ? ssecm ?0? ? ? ssecm swcm ? ?1? ?0? swc m snooze ??? 0 ? snooze ??? 1 ? snooze ??? ? stop ?????? stop ?? cpu ??? csi/uart ? snooze ?? ? ????? cpu/ ?? f clk ?? snooze ???? ????? ? ?? snooze ?????? swc ?0? ???? stop ??? swc ? ?1? ?? stop ?????? swc ? ?0? eocmn ssecm ? 00 intsrx intsrx 01 intsrx intsrx 10 intsrx intsrex 11 intsrx ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 464 2014.10.31 14.3.15 ?? isc ss1e00 csi00 ?????? 0 ssi00 ?? ssi00 ???? ????????? ssi00 ????????? ?????? ? 1 8 ??? isc ? ??? isc ??? ?00h? ? 14-20 ?? isc ?? ? ? bit6 0 ?0? ? f0073h 00h r/w 76543210 i s cs s i e 0 00000000 ssie00 csi00 ?????? 0 ssi00 ? 0 ssi00 1 ssi00
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 465 2014.10.31 14.3.16 ?? 0 nfen0 nfen0 ????????? csi ? i 2 c ????? ?0? ?? uart ????? ?1? ?? ??? cpu/ ?? f clk 2 ?????? ??? cpu/ ?? f mck ? ? ? 1 8 ??? nfen0 ? ??? nfen0 ??? ?00h? ? ? ?6.5.1(2) ? timn ??? ccsmn=1 ? ?6.5.2 ??? ? ? 14-21 ?? 0 nfen0 ?? ? ? bit7 3 bit1 ?0? ? f0070h 00h r/w 76543210 n f e n 000000s n f e n 1 00s n f e n 0 0 snfen10 rxd1 ??? 0 ? off 1 ? on rxd1 ?? snfen10 ?1? rxd1 ??? snfen10 ?0? snfen00 rxd0 ??? 0 ? off 1 ? on rxd0 ?? snfen00 ?1? rxd0 ??? snfen00 ?0?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 466 2014.10.31 14.3.17 ? / ?????? ?????????????? ???? pmxx ??? pxx ???? pimxx ???? pomxx ?????? pmcxx ? ? 4.3.1 ???? pmxx ? ? 4.3.2 ??? pxx ? ? 4.3.4 ??? ? pimxx ? ? 4.3.5 ???? pomxx ? ? 4.3.6 ????? 0 12 pmcxx ? ???????? p00/ti00/txd1/cmp0p/ani17/(trjo0) ? ????????????? pmcxx ???? pmxx ?0? ???? pxx ?1? ? n ? v dd ????????????? pomxx ?1? ?? 2.5v 3v ?? ? 4.4.4 ? / ? ? 2.5v 3v ?? ? p00/ti00/txd1/cmp0p/ani17/(trjo0) ????? 0 pmc00 ?0? ???? 0 pm00 ?0? ??? 0 p00 ?1? ????????? p01/to00/rxd1/pgai/ani16/trjio0 ? ???????????? pmxx ?1? ??? ??? pmcxx ?0? ???? pxx ?0? ?1? ? ttl ??????????? pimxx ?1? ? 2.5v 3v ?? ? 4.4.4 ? / ?? 2.5v 3v ?? ? p01/to00/rxd1/p gai/ani16/trjio0 ????? 0 pmc01 ?0? ???? 0 pm 01 ?1? ?? 0 p01 ?0? ?1?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 467 2014.10.31 14.4 ???? ??????? ?????????? ???????????? 14.4.1 ????? ?? 0 per0 ?????? per0 ??????????????????? ?? ???? 0 ?? bit2 sau0en ?0? ? 14-22 ??????? 0 per0 ? ? 1. sau0en ? ?0? ??? 0 ????????? ???? ? ?? isc ? ?? 0 nfen0 ? ???? 0 3 5 pim0 pim3 pim5 ? ???? 0 3 5 pom0 pom3 pom5 ? ????? 0 pmc0 ? ???? 0 3 5 pm0 pm3 pm5 ? ??? 0 3 5 p0 p3 p5 2. ?? ?0? bit1 3 4 6 7 ? ???? ??? 0/1 ?? ?0? ?1? (a) ? 0 per0 ?? ???? sa u 0 ?? ?0? 76543210 per0 0 0 adcen 0 0 sau0en 0/1 0tau0en sau0 ??? 0 ???? 1 ??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 468 2014.10.31 14.4.2 ??? ??????? ? 14-23 ??????? ? 1. m ? m=0 n ? n=0 3 2. ? ??? 0/1 ?? ?0? ?1? (a) ???? m stm ?? ??? / ???? 1514131211109876543210 stm 0 0 0 0 0 0 0 0 0 0 0 0 stm3 0/1 stm2 0/1 stm1 0/1 stm0 0/1 1 semn ?0? ??? ? stmn ? semn ? ?0? stmn (b) ???? m sem ?? ???????????? 1514131211109876543210 sem 0 0 0 0 0 0 0 0 0 0 0 0 sem3 0/1 sem2 0/1 sem1 0/1 sem0 0/1 0 ?? sem ?????? stm ??? ?????? som ? ckomn ? (c) ? m soem ?? ??????? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0/1 0 soem0 0/1 0 ???? ?????? som ? somn ? (d) ? m som ?? ???? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0/1 0 0 0 0 1 som2 0/1 1 som0 0/1 1 ???? ?1? 1 ??? ?1? ??????? ckomn somn ?1? (e) ?? isc ?? csi00 ? ? 0 ? 0 ssi00 ??? 76543210 isc ssie00 0/1 0 0 0 0 0 0 0 0 ssi00 ??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 469 2014.10.31 14.5 3 ? i/o csi00 ?? ?? sck ? si so 3 ??????? [ ???? ] ?7 8 ? ? ??? ?msb/lsb ?? ? ?????? [ ?? ] ? ??? ? / ?? ? ??????? ? ? ?? max. f mck /2 ?? max. f mck /6 [ ? ] ? ?? [ ? ] ? ? csi ? snooze ?? snooze ??? stop ?????? sck ??? cpu ????? csi ? snooze ?? ?csi00 csi00 ????? ? 14.6 ??????? ? ? sck ? t kcy ??? ? 28 ? sau0 ? 0 ? 3 ? i/o csi00 ? 3 ? i/o csi00 7 ? ? ? 14.5.1 ? ? 14.5.2 ? ??? 14.5.3 ? 14.5.4 ? 14.5.5 ? ?? 14.5.6 ? snooze ?? 14.5.7 ? ? csi uart i 2 c 00 c s i 0 0 ????? uart0 iic00 1? ? 2? u a r t 1 ? 3? ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 470 2014.10.31 14.5.1 ? ?? R7F0C015 r7f0c016 ????? ? ? ? 28 ??? ? m ? m=0 n ? n=0 mn=00 3 ? i/o csi00 ? sau0 ? 0 ?? sck00 so00 intcsi00 ?? ??? ?? ? ? 7 8 ? max. f mck /2[hz] csi00 min. f clk /(2 2 15 128)[hz] f clk ???? ? scrmn ? dapmn ? ? dapmn=0 ?????? ? dapmn=1 ???????? ? ? scrmn ? ckpmn ? ? ckpmn=0 ? ckpmn=1 ? msb ? lsb
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 471 2014.10.31 (1) ?? ? 14-24 3 ? i/o csi00 ????? siop ? 1. m ? m=0 n ? n=0 p csi ? p=00 mn=00 2. csi ?????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 0 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 0 mdmn1 0 mdmn0 0/1 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 ? n ? 0 ? 1 (b) ??? mn scrmn 1514131211109876543210 scrmn txemn 1 rxemn 0 dapmn 0/1 ckpmn 0/1 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0/1 0 slcmn1 0 slcmn0 0 0 1 dlsmn1 1 dlsmn0 0/1 ??? 0 msb ? / 1 lsb ? / ??? 0 7 ? 1 8 ? ???? ? ?14.3 ???? ? (c) ?? mn sdrmn 8 siop 1514131211109876543210 sdrmn ?? ? f mck ??? 0 ?? (d) ? m som ?? ??? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0/1 0 0 0 0 1 som2 1 som0 0/1 ?? ? ? scrmn ? ckpmn=0 ? ?1? ? ????? ? ? ckpmn=1 ? ?0? ???? (e) ? m soem ?? ?? ?1? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0 0/1 (f) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 ssm1 ssm0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 472 2014.10.31 (2) ? 14-25 ????? ? 14-26 ??? a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, < a?nscrmn?, < a?nsdrmn?, <  soem?, <,xa?n a?n0? mssm?, < ??a?n,x43 ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? soemn!5b?1?a?b5e?f',x dbeg?? a?nsom?, < a?n> js(ckomn)
`> db (somn),x??eg?+ g? a?n0? ?, <
`0? ???, < (b5e?f',xdbeg?
`jseg?y )? 43??a?n? vp4-siop?, <(sdrmn?, < ,xbit70)m e?db??e?? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? a?n?e?"?(m)[(a?ne> js(f mck ) ne,x?e?js)? !6a?n,x?? !6a?n,x43 43!6a?ne9??t?)? soemn!5b?0?0!6b5e?f',xeg??  soem?, <,xa?n tsfmn = 0? vpy!7 ?e?,xdb1y?e?43 (vpl??u2?0!61y)? yes no 2?0!6 bl??u b5e?f' ,x> js(ckomn)
`> db(somn) ,x+ g? 0!6> l ? ),xjs5b!(???  som?, <,xa?n a?nper0?, < (??u) (ey?) (??u) (ey?) (ey?) b5e?f',xstmn!5b?1? (semn=0?5be> 0!6(??)? mstm?, <
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 473 2014.10.31 ? 14-27 ????? ? ??? per0 ?????????? ????? ????? g????,xa?n?? 0? ?0  spsm?, <,xa?n  sdrmn?, <,xa?n  smrmn?, <,xa?n  som?, <,xa?n 0? ?0 mssm?, < g????,xa?n43 e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?
`jseg ?5b? ?u e> js,xa?ng??a?n? ?u ?e?"?(m)[,xa?n(a?ne> js(f mck )ne,x?e?js)g?? a?n? ?u > ???, js(ckomn)
`> db (somn),x??eg?+ g? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?
`jseg ?5by? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? a?n43? vp4-siop?, <(sdrmn?, <,x bit70)a?n e?db??e?? (??u) (ey?) (ey?) (ey?) (ey?) (ey?) (??u) (??u) ?u > e?e> a?n?, R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 474 2014.10.31 (3) ?? ? 14-28 ? ???? 1 dapmn=0 ckpmn=0 ? m ? m=0 n ? n=0 p csi ? p=00 mn=00 ssmn stmn semn sdrmn sckp6? sop6? /?!?, /?!e> /?!e> db e? db e?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 475 2014.10.31 ? 14-29 ? ??? csie?,x?? 4-stmn!m?1? e?43? no yes e?,x43 4-siop(=sdrmn[7:0]) m e?db vp *?e?43? e@/???)/?c? a?n e?db yg??a?na? ?'; 14-25 (ey??e?43?)? sau,x??a?n 1y e?43 ?e?43? a?? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? /u!6?(#;) a?n e?db
`dbtd#l8e?43?? (e?e?ec??a?nyf?ram,x, ? e?db?j\?e?dbtd
`e?43??)? e?e?.baxe?43??9?1 e?43? e?e?msiopeg?sop
` sckp ?(??e?)? , a? e?dbjmsiop ? e?db?j\? vpyha1 e?,xdb, a? e?dbjmsiop? e?db?j\?  e?43?? 5b!? /?c /?c ??)/?c e???tdb? 4-siop(=sdrmn[7:0]) m e?db no e?43??5b! reti yes
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 476 2014.10.31 (4) ?? ? 14-30 ? ???? 1 dapmn=0 ckpmn=0 ? ???? mn ssrmn bffmn ? ?1? ? ???? mn sdrmn ? sdrmn ??? ? ?????? mn smrmn mdmn0 ?????? ??????? ? m ? m=0 n ? n=0 p csi ? p=00 mn=00 ssmn semn sdrmn intcsip tsfmn bffmn mdmn0 7 "? stmn sckp6? sop6? /?!?, /?!e> /?!e> db e? db e? < 88 8 99 9 : ; db e?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 477 2014.10.31 ? 14-31 ? ??? ? ????? ? ? 14-30 ? ???? ? ??? a?n e?db 4-stmn!m?1? yg??a?na? ?'; 14-25 (ey?4?? <0n?)? sau ,x ??a?n yes no no e? ,x 43 yes no 4?4e?? yes e?dbtd > 0? 8 9; : < 7 a?n e?db
`dbtd#l8e?43?? (e?e?ec??a?nyf?ram,x, ? e?db?j\?e?dbtd
`e?43??)? 4-mdmn0!m?0? 4-siop(=sdrmn[7:0]) m e?db 4-siop(=sdrmn[7:0]) m e?db vpy e?db, a? e?dbj msiop ? e?db?j\
` e?dbtd? vp"uy e?db mdmn!?1?#l8 mdmn!? 43e?? e?dbtd?1 reti e?43? vp *4?? <0n?e?43? e@/???)/?c? 4?? <0n?e?43? 4-mdmn0!m?1? yes no mdmn = 1? e?43??5b! 1y e?43 #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? a?? /u!6?(#;) e?e?.bax e? 43??9?1 e?43? e?e?msiopeg?sop
` sckp ?(??e?)? , a? e?dbjmsiop ? e?db?j\? /?c /?c ??)/?c csi e?,x??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 478 2014.10.31 14.5.2 ? ?? R7F0C015 r7f0c016 ???? ? ? ? 28 ??? ? m ? m=0 n ? n=0 p csi ? p=00 mn=00 3 ? i/o csi00 ? sau0 ? 0 ?? sck00 si00 intcsi00 ?? ??? ?? ? ?? ovfmn ? 7 8 ? max. f mck /2[hz] csi00 min. f clk /(2 2 15 128)[hz] f clk ???? ? scrmn ? dapmn ? ? dapmn=0 ?????? ? dapmn=1 ???????? ? ? scrmn ? ckpmn ? ? ckpmn=0 ? ckpmn=1 ? msb ? lsb
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 479 2014.10.31 (1) ?? ? 14-32 3 ? i/o csi00 ????? siop ? 1. m ? m=0 n ? n=0 p csi ? p=00 mn=00 2. csi ?????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 0 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 0 mdmn1 0 mdmn0 0/1 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 ? n ? 0 ? 1 (b) ??? mn scrmn 1514131211109876543210 scrmn txemn 0 rxemn 1 dapmn 0/1 ckpmn 0/1 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0/1 0 slcmn1 0 slcmn0 0 0 1 dlsmn1 1 dlsmn0 0/1 ??? 0 msb ? / 1 lsb ? / ??? 07 ? 18 ? ???? ? ?14.3 ???? ? (c) ?? mn sdrmn 8 siop 1514131211109876543210 sdrmn ?? ? f mck ??? 0 ?ffh? (d) ? m som ?? ??? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0/1 0 0 0 0 1 som2 1 som0 ?? ? ? scrmn ? ckpmn=0 ? ?1? ? ????? ? ? ckpmn=1 ? ?0? ???? (e) ? m soem ?? ???? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0 (f) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 ssm1 ssm0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 480 2014.10.31 (2) ? 14-33 ????? ? 14-34 ??? a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, < a?nscrmn?, < a?nsdrmn?, < a?nsom?, < a?n0? mssm?, < ??a?n,x43 ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? a?n> js(ckomn),x??eg?+ g? e?e?a?n0? ?, <
`0? ???, <b5e?f',xjseg?5by? 43??a?n? vp4-siop?, <(sdrmn?, <,x bit70)a?n<.3db??e?? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? a?n?e?"?(m)[(a?ne> js(f mck ) ne,x?e?js)? !6a?n,x?? !6a?n,x43 b5e?f',xstmn!5b?1? (semn=0?5be> 0!6(??)? 43!6a?ne9??t?)? soemn!5b?0?0!6b5e?f',xeg?? mstm?, <  soem?, <,xa?n tsfmn = 0? vpy!7 ?e?,xdb1y?e?43 (vpl??u2?0!61y)? yes no 2?0!6 bl??u b5e?f' ,x> js(ckomn),x+ g? 0!6> l ? ),xjs5b!(???  som?, <,xa?n a?nper0?, < (??u) (ey?) (??u) (ey?) (ey?)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 481 2014.10.31 ? 14-35 ????? ? ??? per0 ?????????? ????? ????? g????,xa?n?? 0? ?0  spsm?, <,xa?n  sdrmn?, <,xa?n  smrmn?, <,xa?n  som?, <,xa?n 0? ?0 mssm?, < g????,xa?n43 e?e?a?n0? ?, <
`0? ???, <b5e?f',xjseg?5b? ?u e> js,xa?ng??a?n? ?u ?e?"?(m)[,xa?n(a?ne> js(f mck )ne,x?e?js)g?? a?n? ?u > ???, < mn(smrmn) ,xa?ng??a?n? a?n> js(ckomn) ,x??eg? + g? e?e?a?n0? ?, <
`0? ???, <b5e?f',xjseg?5by? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? a?n43? vp4-siop?, <(sdrmn?, <,x bit70)a?n<.3db??e?? (??u) (ey?) (ey?) (ey?) (ey?) (??u) (??u) ?u > e?e> a?n?, 5b!,x(?? e?e?> ??#l8?o ?, #l8? (ey?) #l8ja??? 2a????`!?? (??u) no yes 1e?b5(2a??)0!65e? 43?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 482 2014.10.31 (3) ?? ? 14-36 ? ???? 1 dapmn=0 ckpmn=0 ? m ? m=0 n ? n=0 p csi ? p=00 mn=00 ssmn semn sdrmn intcsip tsfmn stmn y
db1 sckp6? sip6? /?!?, dby
dby
y
&/?!e> y
&/?!e> y
&/?!e> y
db3 y
db2 y
db1 *by
,x<.3db <.3db <.3db y
db2 y
db3 m m a? m a? a?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 483 2014.10.31 ? 14-37 ? ??? csie?,x?? 4-stmn!m?1? y
db yg??a?na? ?'; 14-33 (ey??e?43?)? sau,x??a?n 1yy
43 ?e?43? a?? #l8?a?"??(xxif)j?l8? #;(xxmk) a5ba??(ei)? /u!6?(#;) a?n y
db,x, 
`e?dbtd (e?e?ec??a?nyf?ram,x, ? y
db?j\
`e?dbtd)? .baxe?dbtd? e?e?msiopeg?sckp  ?(??e?)? a?y
dbjm, ? ? y
db?j\
`e?dbtd? /?c /?c ??)/?c siop(=sdrmn[7:0]) a?y
db reti
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 484 2014.10.31 (4) ?? ? 14-38 ? ???? 1 dapmn=0 ckpmn=0 ? ??? mdmn0 ?????????? ??? ? 1. ???? ? ? 14-39 ? ??? ? ??? 2. m ? m=0 n ? n=0 p csi ? p=00 mn=00 ssmn semn sdrmn sckp6? sip6? intcsip tsfmn <.3db <.3db m m y
&/?!e> bffmn 7 8 <.3db m mdmn0 y
db2 y
db1 y
db1 dby
stmn /?!?, db2 y
db3 y
&/?!e> y
&/?!e> dby
8 8 > ; 99:: 9<= y
db3 dby

R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 485 2014.10.31 ? 14-39 ? ??? ? ???? ? ? 14-38 ? ???? ? ??? a?ny
db 4-stmn!m?1? yg??a?na? ?'; 14-33 (ey?4?? <0n?)? sau,x??a?n =1 =0 yes no no 12 e?,x43 yes no 4?4y
? yes e?dbtd ? 8 9< 8 ; > 7 by
dba?n, 
`e?dbtd (e?e?ec??a?nyf?ram,x, ? y
db?j\
`e?dbtd)? 4-siop(=sdrmn[7:0]) m<.3db 4-siop(=sdrmn[7:0]) m<.3db = : siop(=sdrmn[7:0]) a?y
db vpyy
dba?a1dbj m, ?y
db?j\ (e?dbtd?1)? reti csie?,x?? vp *?e@/? ??)/?c? 4?? <0n?e?43? 1yy
43 #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? a?? /u!6?(#;) vpe?dbtd ??0?43y
? e?e?msiopeg?sckp  ?(??e?)? /?c /?c ??)/?c 4-mdmn0!m?1? e?dbtd = 0? 4-mdmn0!m?0? e?dbtd?1 bffmn=1 ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 486 2014.10.31 14.5.3 ???? ????? R7F0C015 r7f0c016 ??????? ? ? ? 28 ??? ? m ? m=0 n ? n=0 p csi ? p=00 mn=00 3 ? i/o csi00 ? sau0 ? 0 ?? sck00 si00 so00 intcsi00 ?? ??? ?? ? ?? ovfmn ? 7 8 ? max. f mck /2[hz] csi00 min. f clk /(2 2 15 128)[hz] f clk ???? ? scrmn ? dapmn ? ? dapmn=0 ?????? ? dapmn=1 ???????? ? ? scrmn ? ckpmn ? ? ckpmn=0 ? ckpmn=1 ? msb ? lsb
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 487 2014.10.31 (1) ?? ? 14-40 3 ? i/o csi00 ??????? siop ? 1. m ? m=0 n ? n=0 p csi ? p=00 mn=00 2. csi ???????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 0 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 0 mdmn1 0 mdmn0 0/1 ? n ? 0 ? 1 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 (b) ??? mn scrmn 1514131211109876543210 scrmn txemn 1 rxemn 1 dapmn 0/1 ckpmn 0/1 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0/1 0 slcmn1 0 slcmn0 0 0 1 dlsmn1 1 dlsmn0 0/1 ??? 0 msb ? / 1 lsb ? / ??? 07 ? 18 ? ???? ? ?14.3 ???? ? (c) ?? mn sdrmn 8 siop 1514131211109876543210 sdrmn ?? ? f mck ??? 0 ?? / ?? (d) ? m som ?? ??? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0/1 0 0 0 0 1 som2 1 som0 0/1 ?? ? ? scrmn ? ckpmn=0 ? ?1? ? ????? ? ? ckpmn=1 ? ?0? ???? (e) ? m soem ?? ?? ?1? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0 0/1 (f) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 ssm1 ssm0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 488 2014.10.31 (2) ? 14-41 ??????? ? 14-42 ????? a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, < a?nscrmn?, < a?nsdrmn?, < a?nsom?, <  soem?, <,xa?n a?n0? mssm?, < ??a?n,x43 ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? a?n> js(ckomn)
`> db (somn),x??eg?+ g? soemn!5b?1?a?b5e?f',x dbeg?? a?n0? ?, <
`0? ???, < (b5e?f',xdbeg?
`jseg?y )? 43??a?n? vp4-siop?, <(sdrmn?, < ,xbit70)m e?db??e?? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? a?n?e?"?(m)[(a?ne> js(f mck ) ne,x?e?js)? !6a?n,x?? !6a?n,x43 b5e?f',xstmn!5b?1? (semn=0?5be> 0!6(??)? 43!6a?ne9??t?)? soemn!5b?0?0!6b5e?f',xeg?? mstm?, <  soem?, <,xa?n tsfmn = 0? vpy!7 ?e?,xdb1y?e?43 (vpl??u2?0!61y)? yes no 2?0!6 bl??u b5e?f' ,x> js(ckomn)
`> db(somn) ,x+ g? 0!6> l ? ),xjs5b!(???  som?, <,xa?n a?nper0?, < (??u) (ey?) (??u) (ey?) (ey?)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 489 2014.10.31 ? 14-43 ??????? g????,xa?n?? 0? ?0  spsm?, <,xa?n  sdrmn?, <,xa?n  smrmn?, <,xa?n  som?, <,xa?n 0? ?0 mssm?, < e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?
`jseg ?5b? ?u e> js,xa?ng??a?n? ?u ?e?"?(m)[,xa?n(a?ne> js(f mck )ne,x?e?js)g?? a?n? ?u > ???, js(ckomn)
`> db (somn),x??eg?+ g? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?
`jseg ?5by? b5e?f',xssmn!5b?1?ssemn ! ??1?(e> a?(??)? (??u) (ey?) (ey?) (ey?) (ey?) (??u) (??u) ?u > e?e> a?n?, 5b!,x(?? e?e?> ??#l8?o ?, #l8? #l8ja???
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 490 2014.10.31 (3) ???? ? 14-44 ??? ?????? 1 dapmn=0 ckpmn=0 ? m ? m=0 n ? n=0 p csi ? p=00 mn=00 ssmn semn sdrmn sckp6? sip6? intcsip tsfmn m m m sop6? stmn /?!?, db3 y
db2 y
db1 y
db2 y
db1 y
db3 e?db1 e?db2 e?db3 y
&/?!e> y
&/?!e> y
&/?!e> db e?
`y
db e?
`y
db e?
`y
a?a? a?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 491 2014.10.31 ? 14-45 ??? ????? csie?,x?? 4-stmn!m?1? e?
`y
43? no yes e?,x43 4-siop(=sdrmn[7:0]) m e?db vp *?e?43? e@/???)/?c? a?n e?
`y
db yg??a?na? ?'; 14-41 (ey??e?43?)? sau,x??a?n 1y e?
`y
,x43 ?e?43? a?? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? /u!6?(#;) b e?
`y
dba?n, 
`dbtd (e?e?ec??a?nyf?ram,x, ? e?db?j\?y
db?j\
`e?dbtd)? vpy??tdb4?4?e?? e?e?msiopeg?sop
` sckp ?(??e?)? , a? e?dbjmsiop ? e?db?j\? a?y
dbjm, ?y
db?j\? /?c /?c ??)/?c siop(=sdrmn[7:0]) a?y
db reti
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 492 2014.10.31 (4) ???? ? 14-46 ??? ?????? 1 dapmn=0 ckpmn=0 ? 1. ???? mn ssrmn bffmn ? ?1? ? ???? mn sdrmn ? sdrmn ??? 2. ??? sdrmn ?????? ? ?????? mn smrmn mdmn0 ?????? ??????? ? 1. ???? ? ? 14-47 ??? ????? ? ??? 2. m ? m=0 n ? n=0 p csi ? p=00 mn=00 ssmn semn sdrmn sckp6? sip6? intcsip tsfmn sop6? bffmn 7 "?1 mdmn0 stmn e?db 1 e?db 2 e?db 3 y
db 1 y
db 2 y
db 3 y
db1 y
db2 y
db3 e?db1 e?db2 e?db3 y
&/?!e> y
&/?!e> y
&/?!e> db e?
`y
db e?
`y
db e?
`y
m m m a? a? a? > 88 8 99 9 :: ; <= "?2 "?2 /?!?, R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 493 2014.10.31 ? 14-47 ??? ????? ? ???? ? ? 14-46 ??? ?????? ? ??? a?n e?
`y
db 4-stmn!m?1? yg??a?na? ?'; 14-41 (ey?4?? <0n?)? sau,x??a?n =1 =0 yes no no 12 e?,x43 yes no 4?4e?? yes e?dbtd ? 8 9< ; > 7 b e?
`y
dba?n, 
`dbtd (e?e?ec??a?nyf?ram,x, ? e?db?j\?y
db?j\
`e?dbtd)? 4-siop(=sdrmn[7:0]) m e?db 4-siop(=sdrmn[7:0]) m e?db = : siop(=sdrmn[7:0]) a?y
db 1?t?l8a?y
dbj m, ?y
db?j\? reti csie?,x?? vp *4?? <0n?e?43? e@/???)/?c? 4?? <0n?e?43? 1y e?
`y
,x43 #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? a?? /u!6?(#;) /?c /?c ??)/?c 4-mdmn0!m?1? e?dbtd = 0? 4-mdmn0!m?0? e?dbtd?1 bffmn=1 ? e?e?msiopeg?sop
` sckp ?(??e?)? , a? e?dbjmsiop ? e?db?j\? vpy e?db(e?dbtd12) , a? e?dbjmsiop? e?db?j\? vp1yy
? adb(e?dbtd=1) ?c e?43?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 494 2014.10.31 14.5.4 ??????? R7F0C015 r7f0c016 ?? ? 1. ?? sck00 ?????? f mck /6[hz] 2. ? ? 28 ??? ? 1. f mck ??? 2. m ? m=0 n ? n=0 mn=00 3 ? i/o csi00 ? sau0 ? 0 ?? sck00 so00 intcsi00 ?? ??? ?? ? ?? ovfmn ? 7 8 max. f mck /6[hz] ? 1 2 ? scrmn ? dapmn ? ? dapmn=0 ?????? ? dapmn=1 ???????? ? ? scrmn ? ckpmn ? ? ckpmn=0 ? ckpmn=1 ? msb ? lsb
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 495 2014.10.31 (1) ?? ? 14-48 3 ? i/o csi00 ???? siop ? 1. m ? m=0 n ? n=0 p csi ? p=00 mn=00 2. csi ????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 1 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 0 mdmn1 0 mdmn0 0/1 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 ? n ? 0 ? 1 (b) ??? mn scrmn 1514131211109876543210 scrmn txemn 1 rxemn 0 dapmn 0/1 ckpmn 0/1 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0/1 0 slcmn1 0 slcmn0 0 0 1 dlsmn1 1 dlsmn0 0/1 ??? 0 msb ? / 1 lsb ? / ??? 07 ? 18 ? ???? ? ?14.3 ???? ? (c) ?? mn sdrmn 8 siop 1514131211109876543210 sdrmn 0000000 ?? 0 ?? (d) ? m som ?? ??? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0 0 0 0 1 som2 1 som0 0/1 (e) ? m soem ?? ?? ?1? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0 0/1 (f) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 ssm1 ssm0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 496 2014.10.31 (2) ? 14-49 ???? ? 14-50 ?? a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, < a?nscrmn?, < a?nsdrmn?, < a?nsom?, <  soem?, <,xa?n a?n0? mssm?, < ??a?n,x43 ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? a?n> db(somn),x??eg?+ g? soemn!5b?1?a?b5e?f',x dbeg?? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5by? 43??a?n? 4-siop?, <(sdrmn?, <,xbit7 0)a?n e?db1y{a??,xjs? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? "?(m)[(bit159)5b?0000000b?? !6a?n,x?? !6a?n,x43 b5e?f',xstmn!5b?1? (semn=0?5be> 0!6(??)? 43!6a?ne9??t?)? soemn!5b?0?0!6b5e?f',xeg?? mstm?, <  soem?, <,xa?n tsfmn = 0? vpy!7 ?e?,xdb1y?e?43 (vpl??u2?0!61y)? yes no 2?0!6 bl??u b5e?f' ,x> db(somn),x+ g? 0!6> l ? ),xjs5b!(???  som?, <,xa?n a?nper0?, < (??u) (ey?) (??u) (ey?) (ey?)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 497 2014.10.31 ? 14-51 ???? ? ??? per0 ?????????? ????? ????? g????,xa?n??  som?, <,xa?n 0? ?0 mssm?, < g????,xa?n43 a?n> db (somn),x??eg?+ g? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5by? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? 4-siop?, <(sdrmn?, <,xbit7 0)a?n e?db1y{a??,xjs? (ey?) (ey?) (??u) (??u) (??u)
|e? (??u) (ey?) soemn!5b?1?a?b5e?f',x dbeg?? (??u)  soem?, <,xa?n soemn!5b?0?0!6b5e?f',x eg?? (ey?)  soem?, <,xa?n {a????`!?? (??u) no yes 1e?b5({a??)0!65e > 43? ?u > ???, e?e> a?n?, `0? ???, <b5e?f',xdbeg?5b? ?u e> js,xa?ng??a?n? (ey?) (ey?)  scrmn?, <,xa?n  smrmn?, <,xa?n 'ovf???>5b!,x(?? e?e?> ??#l8?o ?, #l8? #l8ja??? 0? ?0  spsm?, <,xa?n ?u ?e?"?(m)[,xa?n(a?ne> js(f mck )ne,x?e?js)g?? a?n? (ey?)  sdrmn?, <,xa?n
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 498 2014.10.31 (3) ?? ? 14-52 ???? 1 dapmn=0 ckpmn=0 ? m ? m=0 n ? n=0 p csi ? p=00 mn=00 ssmn semn sdrmn sckp6? sop6? intcsip tsfmn stmn /?!?, /?!e> /?!e> db e? db e? db e?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 499 2014.10.31 ? 14-53 ??? csie?,x?? 4-stmn!m?1? 4?4 e?? no yes e?,x43 4-siop(=sdrmn[7:0]) m e?db e?e? e?43{*?a?"? e?dbtde> aud??43? a?n e?db yg??a?na? ?'; 14-49 (ey??e?43?)? sau,x??a?n 1y e?43 reti a?? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? /u!6?(#;) b e?dba?n, 
`dbtd(e?e?ec ??a?nyf?ram,x, ? e?db ?j\
`e?dbtd)? e?e?{a??o,xjs ??e?? 4?? R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 500 2014.10.31 (4) ?? ? 14-54 ???? 1 dapmn=0 ckpmn=0 ? ???? mn ssrmn bffmn ? ?1? ? ???? mn sdrmn ? sdrmn ??? ? ?????? mn smrmn mdmn0 ?????? ? m ? m=0 n ? n=0 p csi ? p=00 mn=00 ssmn semn sdrmn sckp6? sop6? intcsip tsfmn bffmn mdmn0 stmn /?!?, /?!e> /?!e> db e? db e? db e? 7 < 88 8 999 : ; "?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 501 2014.10.31 ? 14-55 ??? ? ????? ? ? 14-54 ???? ? ??? a?n e?db 4-stmn!m?1? yg??a?na? ?'; 14-49 (ey?4?? <0n?)? sau,x??a?n yes no no e?,x43 yes no 4?4e?? yes e?dbtd > 1? 8 9; : < 7 b e?dba?n, 
`dbtd (e?e?ec??a?nyf?ram,x, ? e?db?j\
`e?dbtd)? 4-mdmn0!m?0? 4-siop(=sdrmn[7:0]) m e?db 4-siop(=sdrmn[7:0]) m e?db vpy e?db, a? e?dbj msiop?,?j\? ? ?e?43? e?dbtd?1 a? e?db reti e?dbtd=?1? vp *4?? <0n?e?43? e@/???)/?c? 4?? <0n?e?43? 1y e?43 #l8?a?"??(xxif)j?l8? #;(xxmk) a5ba??(ei)? a?? /u!6?(#;) e?e?e?dbtde> 1?,x??? +1? e?db43? 0?!7 y
? adb? ?1?43? e?e?{a??o,xjs ??e?? /?c /?c ??)/?c 4?? R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 502 2014.10.31 14.5.5 ??????? R7F0C015 r7f0c016 ? ? 1. ?? sck00 ?????? f mck /6[hz] 2. ? ? 28 ??? ? 1. f mck ??? 2. m ? m=0 n ? n=0 mn=00 3 ? i/o csi00 ? sau0 ? 0 ?? sck00 si00 intcsi00 ??? ??? ? ?? ovfmn ? 7 8 max. f mck /6[hz] ? 1 2 ? scrmn ? dapmn ? ? dapmn=0 ?????? ? dapmn=1 ???????? ? ? scrmn ? ckpmn ? ? ckpmn=0 ? ckpmn=1 ? msb ? lsb
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 503 2014.10.31 (1) ?? ? 14-56 3 ? i/o csi00 ???? siop ? 1. m ? m=0 n ? n=0 p csi ? p=00 mn=00 2. ?????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 1 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 0 mdmn1 0 mdmn0 0 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 ? n ? 0 ? (b) ??? mn scrmn 1514131211109876543210 scrmn txemn 0 rxemn 1 dapmn 0/1 ckpmn 0/1 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0/1 0 slcmn1 0 slcmn0 0 0 1 dlsmn1 1 dlsmn0 0/1 ??? 0 msb ? / 1 lsb ? / ??? 0 7 ? 1 8 ? ???? ? ?14.3 ???? ? (c) ?? mn sdrmn 8 siop 1514131211109876543210 sdrmn 0000000 ?? 0 (d) ? m som ?? ???? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0 0 0 0 1 som2 1 som0 (e) ? m soem ?? ???? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0 (f) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 ssm1 ssm0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 504 2014.10.31 (2) ? 14-57 ???? ? 14-58 ?? a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, < a?nscrmn?, < a?nsdrmn?, < a?n0? mssm?, < ??a?n,x43 ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg9
`jseg 95by? e?e?b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)  1y{a??,xjs? "?(m)[(bit159)5b?0000000b?? !6a?n,x?? !6a?n,x43 b5e?f',xstmn!5b?1? (semn=0?5be> 0!6(??)? 43!6a?ne9??t?)? soemn!5b?0?0!6b5e?f',xeg?? mstm?, <  soem?, <,xa?n tsfmn = 0? vpy!7 ?e?,xdb1y?e?43 (vpl??u2?0!61y)? yes no 0!6> l ? ),xjs5b!(??? a?nper0?, < (??u) (ey?) (??u) (ey?)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 505 2014.10.31 ? 14-59 ???? ? ??? per0 ?????????? ????? ????? g????,xa?n?? 0? ?0  spsm?, <,xa?n  smrmn?, <,xa?n 0? ?0 mssm?, < g????,xa?n43 e?e?a?n0? ?, <
`0? ???, <b5e?f',xjseg?5b? ?u e> js,xa?ng??a?n? ?u > ???, `0? ???, <b5e?f',xjseg?5by? e?e?b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)  1y{a??,xjs? (??u) (ey?) (ey?) (??u) (??u) ?u > e?e> a?n?, 5b!,x(?? e?e?> ??#l8?o ?, #l8? (ey?) #l8ja??? {a????`!?? (??u) no yes 1e?b5({a??)0!65e > 43?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 506 2014.10.31 (3) ?? ? 14-60 ???? 1 dapmn=0 ckpmn=0 ? m ? m=0 n ? n=0 p csi ? p=00 mn=00 ssmn semn sdrmn sckp6? sip6? intcsip tsfmn stmn /?!?, db1 y
db2 y
db3 y
db1 y
db2 y
db3 y
&/?!e> y
&/?!e> y
&/?!e> dby
dby
dby
a? a? a?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 507 2014.10.31 ? 14-61 ??? csie?,x?? 4-stmn!m?1? y
43? no yes e?,x43 e?e??e?43{*?? y
?? yg??a?na? ?'; 14-57 ( ?6?ey??e?43?)? sau,x??a?n 1yy
43 ?e?43? a?? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? /u!6?(#;) a?n y
db,x, #l8y
dbtd (e?e?ec??a?nyf?ram,x, ? y
db?j\
`y
dbtd)? .baxy
dbtd? e?e?{a??o,xjs ??e?? a?y
dbjm, y
dbtde> e?raud? ? y
db?j\? /?c /?c ??)/?c siop(=sdrmn[7:0]) a?y
db reti
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 508 2014.10.31 14.5.6 ?? ????????? R7F0C015 r7f0c016 ? ?? ? 1. ?? sck00 ?????? f mck /6[hz] 2. ? ? 28 ??? ? 1. f mck ??? 2. m ? m=0 n ? n=0 mn=00 3 ? i/o csi00 ? sau0 ? 0 ?? sck00 si00 so00 intcsi00 ?? ??? ?? ? ?? ovfmn ? 7 8 max. f mck /6[hz] ? 1 2 ? scrmn ? dapmn ? ? dapmn=0 ?????? / ? dapmn=1 ???????? / ? ? scrmn ? ckpmn ? ? ckpmn=0 ? ckpmn=1 ? msb ? lsb
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 509 2014.10.31 (1) ?? ? 14-62 3 ? i/o csi00 ?????? siop ? ??? siop ??? ? 1. m ? m=0 n ? n=0 p csi ? p=00 mn=00 2. csi ??????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 1 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 0 mdmn1 0 mdmn0 0/1 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 ? n ? 0 ? 1 (b) ??? mn scrmn 1514131211109876543210 scrmn txemn 1 rxemn 1 dapmn 0/1 ckpmn 0/1 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0/1 0 slcmn1 0 slcmn0 0 0 1 dlsmn1 1 dlsmn0 0/1 ??? 0 msb ? / 1 lsb ? / ??? 0 7 ? 1 8 ? ???? ? ?14.3 ???? ? (c) ?? mn sdrmn 8 siop 1514131211109876543210 sdrmn 0000000 ?? 0 ?? / ?? (d) ? m som ?? ??? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0 0 0 0 1 som2 1 som0 0/1 (e) ? m soem ?? ?? ?1? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0 0/1 (f) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 ssm1 ssm0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 510 2014.10.31 (2) ? 14-63 ?????? ? ??? siop ??? ? 14-64 ???? a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, < a?nscrmn?, < a?nsdrmn?, < a?nsom?, <  soem?, <,xa?n a?n0? mssm?, < ??a?n,x43 ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? a?n> db(somn),x??eg?+ g? soemn!5b?1?a?b5e?f',x dbeg?? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5by? 43??a?n? 4-siop?, <(sdrmn?, <,x bit7 0)a?n e?db1y{a??,xjs? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? "?(m)[(bit159)5b?0000000b?? !6a?n,x?? !6a?n,x43 b5e?f',xstmn!5b?1? (semn=0?5be> 0!6(??)? 43!6a?ne9??t?)? soemn!5b?0?0!6b5e?f',xeg?? mstm?, <  soem?, <,xa?n tsfmn = 0? vpy!7 ?e?,xdb1y?e?43 (vpl??u2?0!61y)? yes no 2?0!6 bl??u b5e?f' ,x> db(somn),x+ g? 0!6> l ? ),xjs5b!(???  som?, <,xa?n a?nper0?, < (??u) (ey?) (??u) (ey?) (ey?)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 511 2014.10.31 ? 14-65 ?????? ? 1. ??? siop ??? 2. ??? per0 ?????????? ???? ?????? g????,xa?n?? 0? ?0  spsm?, <,xa?n  som?, <,xa?n 0? ?0 mssm?, < g????,xa?n43 e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5b? ?u e> js,xa?ng??a?n? a?n> db(somn),x??eg?+ g? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5by? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? e?e?4-siop?, <(sdrmn?, <,x bit70)a?n e?db1y{a?? ,xjs? (??u) (ey?) (ey?) (ey?) (ey?) (??u) (??u)
|e? (??u) (ey?) soemn!5b?1?a?b5e?f',x dbeg?? (ey?)  soem?, <,xa?n soemn!5b?0?0!6b5e?f',x eg?? (ey?)  soem?, <,xa?n {a????`!?? (??u) no yes 1e?b5({a??)0!65e > 43? ?u > ???, < mn(smrmn) ,xa?ng??a?n? ?u > e?e> a?n?, 5b!,x(?? e?e?> ??#l8?o ?, #l8? #l8ja???
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 512 2014.10.31 (3) ???? ? 14-66 ?? ?????? 1 dapmn=0 ckpmn=0 ? m ? m=0 n ? n=0 p csi ? p=00 mn=00 ssmn semn sdrmn sckp6? sip6? intcsip tsfmn m m m sop6? stmn /?!?, db3 y
db2 y
db1 a? a? y
db1 y
db2 y
db3 y
&/?!e> y
&/?!e> y
&/?!e> e?db1 e?db2 e?db3 db e?
`y
db e?
`y
db e?
`y
a?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 513 2014.10.31 ? 14-67 ?? ????? ? ??? siop ??? csie?,x?? 4-stmn!m?1? e?
`y
??tdb? no yes e?,x43 4-siop(=sdrmn[7:0]) m e?db vpe?e??e?43{*? e@/???)/?c? ?e?dbtd.baxy??t e?
`y
db? a?n e?
`y ?db yg??a?na? ?'; 14-63 (ey??e?43?)? sau,x??a?n 1y e?
`y
,x43 a?? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? /u!6?(#;) b e?
`y
dba?n, 
`dbtd (e?e?ec??a?nyf?ram,x, ? e?db?j\?y
db?j\
`e?dbtd)? e?e?{a??o,xjs ??e?? 4?? dbjm, ?y
db?j\? siop(=sdrmn[7:0]) a?y
db reti e?
`y
43? /?c /?c ??)/?c
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 514 2014.10.31 (4) ???? ? 14-68 ?? ?????? 1 dapmn=0 ckpmn=0 ? 1. ???? mn ssrmn bffmn ? ?1? ? ???? mn sdrmn ? sdrmn ??? 2. ??? sdrmn ?????? ? ?????? mn smrmn mdmn0 ?????? ??????? ? 1. ???? ? ? 14-69 ?? ????? ? ??? 2. m ? m=0 n ? n=0 p csi ? p=00 mn=00 ssmn semn sdrmn sckp6? sip6? intcsip tsfmn m m sop6? bffmn > m mdmn0 stmn e?db1 e?db2 e?db3 y
db1 y
db2 y
db3 y
db1 y
db2 y
db3 e?db1 e?db2 e?db3 db e?
`y
db e?
`y
db e?
`y
7 88 8 999 :: ; <= "?1 "?2 "?2 a? a? a? y
&/?!e> y
&/?!e> y
&/?!e> /?!?, R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 515 2014.10.31 ? 14-69 ?? ????? ? ??? siop ??? ? ???? ? ? 14-68 ?? ?????? ? ??? a?n e?
`y
db 4-stmn!m?1? yg??a?na? ?'; 14-63 (ey?4?? <0n?)? sau,x??a?n =1 =0 yes yes no no 12 e?,x43 yes no 4?4e?? yes e?dbtd ? 9< ; > 7 b e?
`y
dba?n, 
`dbtd (e?e?ec??a?nyf?ram,x, ? e?db?j\?y
db?j\
`e?dbtd)? 4-siop(=sdrmn[7:0]) m e?db = : siop(=sdrmn[7:0]) a?y
db 1?t?l8a?y
dbj m, ?y
db?j\? reti csie? ,x?? vp *4?? <0n?e?43? e@/???)/?c? 4?? <0n?e?43? 1y?e?43 #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? a?? /u!6?(#;) /?c /?c ??)/?c 4-mdmn0!m?1? e?dbtd = 0? 4-mdmn0!m?0? e?dbtd?1 bffmn=1 ? e?e?{a??o,xjs??e?? vpy e?db(e?dbtd12) , a? e?dbjmsiop? ,?j\? vp e?43(e?dbtd=1)  ?e?43??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 516 2014.10.31 14.5.7 snooze ?? stop ??? sckp ?? csi ???? stop ?? csi ?? ??????? sckp ?? cpu ??? csi ??? ?? snooze ?? ?csi00 ? snooze ??? csi ??? stop ???? ? ? 14-71 ? 14-73 snooze ??? ? ? ??? stop ????? m sscm swcm ?1? ??? ????? m ssm ssm1 ?1? ?? stop ??? sckp ????? csi ? ? 1. ????? f clk ?? snooze ?? 2. snooze ????? 1mbps (1) snooze ?? ? ? 14-70 snooze ?? ??? 1 dapmn=0 ckpmn=0 ? 1. swcm ? ?1? ??2?? sckp ??? 2. ? swcm ?0? ?? sckp ??? intcsip ? snooze ???? snooze ?????? stm0 ?1? sem0 ?? ??? swcm snooze ?? ? 1. ??? ? ? ? 14-71 snooze ?? ?? ? ?? 2. m=0 p=00 ssm0 sem0 swcm ssecm l sdrm0 sckp6? cpue> (?? stop?? snooze?? e? e> e? e> sip6? jsa?" ? (yf? ?) intcsip tsfm0 y
db2 stm0 "?2 /?!?, db1 y
db1 y
db2 y
&/?!e> y
&/?!e> dby
(8!) dby
(8!) a? "?1 9 : ?? @ > = 8 ;< 7
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 517 2014.10.31 ? 14-71 snooze ?? ?? ? 1. ??? ? ? ? 14-70 snooze ?? ??? ? ?? 2. m=0 p=00 ?#sckp,xe?"? (e@/?snooze??) 4-csipojs (csipy
e> )  ? @ ?l8snooze??,xa?n? < snooze?? ?e? e> (??? ?e?y(??(sem0=1)? snooze,xe> {*?e??(intcsip) (csipy
43) snooze,x43 ?e> 0!6(??(sem0=0)? smrm0?scrm0?e?a?n sdrm0[15:9]?a?n?0000000b? ?e> 0!6(??(sem0=0) ? ?e? e> ,xe?y(??(sem0=1)? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??? a?? e? e> snooze?? stop?? e? e> 4-ssm0!m?1? 4-stm0!m?1? 4-swcm!m?0? 4-ssm0!m?1? 4-stm0!m?1?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 518 2014.10.31 (2) snooze ?? ? 14-72 snooze ?? ?? 1 dapmn=0 ckpmn=0 ? swcm ? ?1? ??2?? sckp ??? ? 1. snooze ???? snooze ?????? stm0 ?1? sem0 ?? ??? swcm snooze ?? 2. swcm ? ?1? ? bffm1 ? ovfm1 ?? ? 1. ???? ? ? 14-73 snooze ?? ? ? ??? 2. m=0 p=00 ssm0 sem0 swcm ssecm l sdrm0 sckp6? sip6? intcsip tsfm0 stm0 cpue> (?? e? e> stop?? e? e> stop?? snooze?? snooze?? jsa?" ? (yf? ?) /?!?, db1 y
db2 y
db1 y
db2 y
&/?!e> y
&/?!e> dby
dby
a? "? 8 8 ;< = ;< > : 9 ?? @ : 79
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 519 2014.10.31 ? 14-73 snooze ?? ? ? 1. ???? ? ? 14-72 snooze ?? ?? ? ??? 2. m=0 p=00 ?#sckp,xe?"? (e@/?snooze??) 4-csipojs (csipy
e> )  ? @ ?l8snooze??,xa?n? < snooze?? ?e? e> (??? ?e?y(??(sem0=1)? snooze,xe> {*?e??(intcsip) (csipy
43) vp ?e> 0!6(??sem0! ??0?? smrm0?scrm0?e?a?n sdrm0[15:9]?a?n?0000000b? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??? a???) e? e> snooze?? stop?? e? e> 4-ssm0!m?1? 4-stm0!m?1? 4-swcm!m?0? 4-stm0!m?1?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 520 2014.10.31 14.5.8 ???? 3 ? i/o csi00 ???????? (1) (2) ? ??? f mck /6 ? ? sdrmn[15:9] ???? mn sdrmn bit15 9 ? 0000000b 1111111b ? 0 127 ?? f mck ????? m spsm ???? mn smrmn bit15 cksmn ??? = { ?? f mck ? } (sdrmn[15:9] + 1) 2[hz] ??? = { ??? sck ? } ? [hz]
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 521 2014.10.31 14-2 3 ? i/o ??? ? ???? f clk ? ?????? ckc ????? sau ???? m stm =000fh ? ? 1. x 2. m ? m=0 n ? n=0 mn=00 smrmn ? spsm ? ? f mck ? cksmn prs m13 prs m12 prs m11 prs m10 prs m03 prs m02 prs m01 prs m00 f clk =24mhz ? 0 xxxx 0000 f clk 24mhz xxxx 0001 f clk /2 12mhz xxxx 0010 f clk /2 2 6mhz xxxx 0011 f clk /2 3 3mhz xxxx 0100 f clk /2 4 1.5mhz xxxx 0101 f clk /2 5 750khz xxxx 0110 f clk /2 6 375khz xxxx 0111 f clk /2 7 187.5khz xxxx 1000 f clk /2 8 93.75khz xxxx 1001 f clk /2 9 46.88khz xxxx 1010 f clk /2 10 23.44khz xxxx 1011 f clk /2 11 11.72khz xxxx 1100 f clk /2 12 5.86khz xxxx 1101 f clk /2 13 2.93khz xxxx 1110 f clk /2 14 1.46khz xxxx 1111 f clk /2 15 732hz 1 0000 xxxx f clk 24mhz 0001 xxxx f clk /2 12mhz 0010 xxxx f clk /2 2 6mhz 0011 xxxx f clk /2 3 3mhz 0100 xxxx f clk /2 4 1.5mhz 0101 xxxx f clk /2 5 750khz 0110 xxxx f clk /2 6 375khz 0111 xxxx f clk /2 7 187.5khz 1000 xxxx f clk /2 8 93.75khz 1001 xxxx f clk /2 9 46.88khz 1010 xxxx f clk /2 10 23.44khz 1011 xxxx f clk /2 11 11.72khz 1100 xxxx f clk /2 12 5.86khz 1101 xxxx f clk /2 13 2.93khz 1110 xxxx f clk /2 14 1.46khz 1111 xxxx f clk /2 15 732hz
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 522 2014.10.31 14.5.9 3 ? i/o csi00 ????? 3 ? i/o csi00 ????? ? 14-74 ? ? 14-74 ??? ? m ? m=0 n ? n=0 mn=00 ??? ? ?? mn sdrmn ssrmn ? bffmn ? ?0? ? n ???? ????? ?? ??? mn ssrmn ???? ? ?? mn sirmn ?1? ? ? ssrmn ????? sirmn ???
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 523 2014.10.31 14.6 ??????? sau0 ? 0 ?????????? [ ???? ] ?7 8 ? ? ??? ?msb/lsb ?? ? ?????? [ ?? ] ? / ?? ? ??????? ? ? ?? max. f mck /6 [ ? ] ? ?? [ ? ] ? [ ? ] ? ? ? sck ? t kcy ???? ? 28 ? ?? 3 ? ? 14.6.1 ? 14.6.2 ? ??? 14.6.3 ? ? csi uart i 2 c 00 c s i 0 0 ????? uart0 iic00 1? ? 2? uart1 ? 3? ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 524 2014.10.31 ??????? 1 ?????? 1 ?????????? so ? ?????? so ????????? ? so ??????? so ?? nch-o.d ? ????????? ? ??????? ? 14-75 ????? ? v dd vb ? so00 ?? n ? v dd ??? sau sau sck ssi si so sau { 2 2 0? sck ssi si so sck ssi si so v b r b
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 525 2014.10.31 ? 14-76 ????? ? m ? m=0 n ? n=0 tsfmn ssemn sckmn (ckpmn = 0) simn g? c somn ssimn dapmn = 0 a?n e?db? bffmn tsfmn ssemn sckmn (ckpmn = 0) simn g? c somn ssimn dapmn = 1 a?n e?db? bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 bffmn 'dapmn!?1?vp ssimnp?+ gka?n e?db??,xdb(bit7)o4- dbeg?? gs sckmn(> js),xt "?3/?!53e> a?l!"? !9,x y
db,xg? ?vp ssimn ?"+ ga??tt "? !9eg?db (/?!)ja?l! "? !9y
db? ssimnp?+ gk gs sckmn(> js),x?l!"?3e> e?53e> at "? !9,xy
db,xg? ? ssimn"+ gka> js,x?l!"? !9eg?db(/?!)jat "? !9y
db? bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 x
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 526 2014.10.31 14.6.1 ??????? R7F0C015 r7f0c016 ?? ? 1. ?? sck00 ?????? f mck /6[hz] 2. ? ? 28 ??? ? 1. f mck ??? 2. m ? m=0 n ? n=0 ?? csi00 ? sau0 ? 0 ?? sck00 so00 ssi00 intcsi00 ?? ??? ?? ? ?? ovfmn ? 7 8 max. f mck /6[hz] ? 1 2 ? scrmn ? dapmn ? ? dapmn=0 ?????? ? dapmn=1 ???????? ? ? scrmn ? ckpmn ? ? ckpmn=0 ? ckpmn=1 ? msb ? lsb ?? ???
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 527 2014.10.31 (1) ?? ? 14-77 ?? csi00 ???? (1/2) siop ? 1. m ? m=0 n ? n=0 p csi ? p=00 2. csi ????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 1 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 0 mdmn1 0 mdmn0 0/1 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 ? n ? 0 ? 1 (b) ??? mn scrmn 1514131211109876543210 scrmn txemn 1 rxemn 0 dapmn 0/1 ckpmn 0/1 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0/1 0 slcmn1 0 slcmn0 0 0 1 dlsmn1 1 dlsmn0 0/1 ??? 0 msb ? / 1 lsb ? / ??? 07 ? 18 ? ???? ? ?14.3 ???? ? (c) ?? mn sdrmn 8 siop 1514131211109876543210 sdrmn 0000000 ?? 0 ?? (d) ? m som ?? ??? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0 0 0 0 1 som2 1 som0 0/1 (e) ? m soem ?? ?? ?1? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 528 2014.10.31 ? 14-77 ?? csi00 ???? (2/2) ? 1. m ? m=0 n ? n=0 p csi ? p=00 2. csi ????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (f) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 ssm1 ssm0 0/1 (g) ?? isc ?? csi00 ? ? 0 ? 0 ssi00 ??? 76543210 isc ssie00 0/1 0 0 0 0 0 0 0 0 ssi00 ?? 1 ssi00 ??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 529 2014.10.31 (2) ? 14-78 ???? ? m ? m=0 n ? n=0 p csi ? p=00 a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, < a?nscrmn?, < a?nsdrmn?, < a?nsom?, <  soem?, <,xa?n a?n0? mssm?, < ??a?n,x43 ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? a?n> db(somn),x??eg?+ g? soemn!5b?1?a?b5e?f',x dbeg?? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5by? 'e2y?t2a?? a?ndb eg?!a?nn"sf'$?u?c?? 43??a?n? vp4-siop?, <(sdrmn?, <,x bit7 0)a?n e?db1y{ a??,xjs? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? misc?, < ssie00!5b?1?a?e?f'0,x2 ey?s6?,xe> ? "?(m)[(bit159)5b?0000000b??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 530 2014.10.31 ? 14-79 ?? ? m ? m=0 n ? n=0 p csi ? p=00 !6a?n,x?? !6a?n,x43 b5e?f',xstmn!5b?1? (semn=0?5be> 0!6(??)? 43!6a?ne9??t?)? soemn!5b?0?0!6b5e?f',xeg?? mstm?, <  soem?, <,xa?n tsfmn = 0? vpy!7 ?e?,xdb1y?e?43 (vpl??u2?0!61y)? yes no 2?0!6 bl??u b5e?f' ,x> db(somn),x+ g? 0!6> l ? ),xjs5b!(???  som?, <,xa?n a?nper0?, < (??u) (ey?) (??u) (ey?) (ey?)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 531 2014.10.31 ? 14-80 ???? ? m ? m=0 n ? n=0 p csi ? p=00 g????,xa?n?? 0? ?0  spsm?, <,xa?n  som?, <,xa?n 0? ?0 mssm?, < g????,xa?n43 e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5b? ?u e> js,xa?ng??a?n? a?n> db(somn),x??eg?+ g? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5by? 'e2y?t2a?? a?ndb eg?!a?nn"sf'$?u?c?? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? 4-siop?, <(sdrmn?, <,xbit7 0)a?n e?db1y{a??,x js? (ey?) (ey?) (ey?) (ey?) (ey?) (??u) (??u)
|e? (??u) (ey?) soemn!5b?1?a?b5e?f',x dbeg?? (ey?)  soem?, <,xa?n soemn!5b?0?0!6b5e?f',x eg?? (ey?)  soem?, <,xa?n {a????`!?? (??u) no yes 1e?b5({a??)0!65e > 43? ?u > ???, < mn(smrmn) ,xa?ng??a?n? ?u > e?e> a?n?, 5b!,x(?? e?e?> ??#l8?o ?, #l8? #l8ja??? misc?, < ssie00!5b?1?a?e?f'0,x2 ey?s6?,xe> ? (??u)  sdrmn?, <,xa?n ?u ?e?"?(m)[,xa?n(a?ne> js(f mck )ne,x?e?js)g?? a?n? (ey?)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 532 2014.10.31 (3) ?? ? 14-81 ???? 1 dapmn=0 ckpmn=0 ? m ? m=0 n ? n=0 p csi ? p=00 ssmn semn sdrmn sckp6? sop6? intcsip tsfmn stmn /?!?, < mn e?db1 e?db2 e?db3 e?db3 e?db2 e?db1 /?!e> /?!e> /?!e> db e? db e? db e? sslp6?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 533 2014.10.31 ? 14-82 ??? ? m ? m=0 n ? n=0 p csi ? p=00 csie?,x?? 4-stmn!m?1? 4?4 e?? no yes e?,x43 4-siop(=sdrmn[7:0]) m e?db e?e? e?43{*?a?"? e?dbtde> aud??43? a?n e?db yg??a?na? ?'; 14-78 (ey??e?43?)? sau,x??a?n 1y e?43 reti a?? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? /u!6?(#;) b e?dba?n, 
`dbtd(e?e?ec ??a?nyf?ram,x, ? e?db ?j\
`e?dbtd)? e?e?{a??o,xjs ??e?? 4?? R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 534 2014.10.31 (4) ?? ? 14-83 ???? 1 dapmn=0 ckpmn=0 ? ???? mn ssrmn bffmn ? ?1? ? ???? mn sdrmn ? sdrmn ??? ? ?????? mn smrmn mdmn0 ?????? ? m ? m=0 n ? n=0 p csi ? p=00 ssmn semn sdrmn sckp6? sop6? intcsip tsfmn bffmn mdmn0 stmn /?!?, /?!e> /?!e> db e? db e? db e? 7 8 8 8 9 9 9 ; < : "? sslp6?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 535 2014.10.31 ? 14-84 ??? ? 1. ????? ? ? 14-83 ???? ? ??? 2. m ? m=0 n ? n=0 p csi ? p=00 a?n e?db 4-stmn!m?1? yg??a?na? ?'; 14-78 (ey?4?? <0n?)? sau,x??a?n yes no no e?,x43 yes no 4?4e?? yes e?dbtd > 1? 8 9; : < 7 b e?dba?n, 
`dbtd (e?e?ec??a?nyf?ram,x, ? e?db?j\
`e?dbtd)? 4-mdmn0!m?0? 4-siop(=sdrmn[7:0]) m e?db 4-siop(=sdrmn[7:0]) m e?db vpy e?db, a? e?dbj msiop?,?j\? ? ?e?43? e?dbtd?1 a? e?db reti e?dbtd=?1? vp *4?? <0n?e?43? e@/???)/?c? 4?? <0n?e?43? 1y e?43 #l8?a?"??(xxif)j?l8? #;(xxmk) a5ba??(ei)? a?? /u!6?(#;) e?e?e?dbtde> 1?,x??? +1? e?db43? 0?!7 y
? adb? ?1?43? e?e?{a??o,xjs ??e?? /?c /?c ??)/?c 4?? R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 536 2014.10.31 14.6.2 ??????? R7F0C015 r7f0c016 ? ? 1. ?? sck00 ?????? f mck /6[hz] 2. ? ? 28 ??? ? 1. f mck ??? 2. m ? m=0 n ? n=0 ?? csi00 ? sau0 ? 0 ?? sck00 si00 ssi00 intcsi00 ??? ??? ? ?? ovfmn ? 7 8 max. f mck /6[hz] ? 1 2 ? scrmn ? dapmn ? ? dapmn=0 ?????? ? dapmn=1 ???????? ? ? scrmn ? ckpmn ? ? ckpmn=0 ? ckpmn=1 ? msb ? lsb ?? ????
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 537 2014.10.31 (1) ?? ? 14-85 ?? csi00 ???? (1/2) siop ? 1. m ? m=0 n ? n=0 p csi ? p=00 2. ?????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 1 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 0 mdmn1 0 mdmn0 0 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 ? n ? 0 ? (b) ??? mn scrmn 1514131211109876543210 scrmn txemn 0 rxemn 1 dapmn 0/1 ckpmn 0/1 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0/1 0 slcmn1 0 slcmn0 0 0 1 dlsmn1 1 dlsmn0 0/1 ??? 0 msb ? / 1 lsb ? / ??? 0 7 ? 1 8 ? ???? ? ?14.3 ???? ? (c) ?? mn sdrmn 8 siop 1514131211109876543210 sdrmn 0000000 ?? 0 (d) ? m som ?? ???? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0 0 0 0 1 som2 1 som0 (e) ? m soem ?? ???? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 538 2014.10.31 ? 14-85 ?? csi00 ???? (2/2) ? 1. m ? m=0 n ? n=0 p csi ? p=00 2. ?????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (f) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 ssm1 ssm0 0/1 (g) ?? isc ?? csi00 ? ? 0 ? 0 ssi00 ??? 76543210 isc ssie00 0/1 0 0 0 0 0 0 0 0 ssi00 ?? 1 ssi00 ??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 539 2014.10.31 (2) ? 14-86 ???? ? 14-87 ?? ? m ? m=0 n ? n=0 p csi ? p=00 a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, < a?nscrmn?, < a?nsdrmn?, < a?n0? mssm?, < ??a?n,x43 ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg9
`jseg 95by? e?e?b5e?f',xssmn!5b?1? (semn=1?5be> a?(??) 1y{a??,xjs? misc?, < ssie00 !5b?1?a?e?f'0,x2 ey?s6?,xe> ? "?(m)[(bit159)5b?0000000b?? !6a?n,x?? !6a?n,x43 b5e?f',xstmn!5b?1? (semn=0?5be> 0!6(??)? 43!6a?ne9??t?)? soemn!5b?0?0!6b5e?f',xeg?? mstm?, <  soem?, <,xa?n tsfmn = 0? vpy!7 ?e?,xdb1y?e?43 (vpl??u2?0!61y)? yes no 0!6> l ? ),xjs5b!(??? a?nper0?, < (??u) (ey?) (??u) (ey?)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 540 2014.10.31 ? 14-88 ???? ? m ? m=0 n ? n=0 p csi ? p=00 g????,xa?n?? 0? ?0  spsm?, <,xa?n  smrmn?, <,xa?n 0? ?0 mssm?, < g????,xa?n43 e?e?a?n0? ?, <
`0? ???, <b5e?f',xjseg?5b? ?u e> js,xa?ng??a?n? ?u > ???, `0? ???, <b5e?f',xjseg?5by? e?e?b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)  1y{a??,xjs? (??u) (ey?) (ey?) (??u) (??u) ?u > e?e> a?n?, 5b!,x(?? e?e?> ??#l8?o ?, #l8? (ey?) #l8ja??? {a????`!?? (??u) no yes 1e?b5({a??)0!65e > 43? misc?, < ssie00!5b?1?a?e?f'0,x2 ey?s6?,xe> ? (??u)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 541 2014.10.31 (3) ?? ? 14-89 ???? 1 dapmn=0 ckpmn=0 ? m ? m=0 n ? n=0 p csi ? p=00 ssmn semn sdrmn sckp6? sip6? intcsip tsfmn stmn /?!?, db1 y
db2 y
db3 y
db1 y
db2 y
db3 y
&/?!e> y
&/?!e> y
&/?!e> dby
dby
dby
a? a? a? sslp6?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 542 2014.10.31 ? 14-90 ??? csie?,x?? 4-stmn!m?1? y
43? no yes e?,x43 e?e??e?43{*?? y
?? yg??a?na? ?'; 14-86 (ey??e?43?)? sau,x??a?n 1yy
43 ?e?43? a?? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? /u!6?(#;) a?n y
db,x, #l8y
dbtd (e?e?ec??a?nyf?ram,x, ? y
db?j\
`y
dbtd)? .baxy
dbtd? e?e?{a??o,xjs ??e?? a?y
dbjm, y
dbtde> e?raud? ? y
db?j\? /?c /?c ??)/?c siop(=sdrmn[7:0]) a?y
db reti
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 543 2014.10.31 14.6.3 ??? ????????? R7F0C015 r7f0c016 ? ?? ? 1. ?? sck00 ?????? f mck /6[hz] 2. ? ? 28 ??? ? 1. f mck ??? 2. m ? m=0 n ? n=0 ?? csi00 ? sau0 ? 0 ?? sck00 si00 so00 ssi00 intcsi00 ?? ??? ?? ? ?? ovfmn ? 7 8 max. f mck /6[hz] ? 1 2 ? scrmn ? dapmn ? ? dapmn=0 ?????? ? dapmn=1 ???????? ? ? scrmn ? ckpmn ? ? ckpmn=0 ? ckpmn=1 ? msb ? lsb ?? ????
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 544 2014.10.31 (1) ?? ? 14-91 ?? csi00 ?????? (1/2) siop ? ??? siop ??? ? 1. m ? m=0 n ? n=0 p csi ? p=00 2. csi ??????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 1 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 0 mdmn1 0 mdmn0 0/1 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 ? n ? 0 ? 1 (b) ??? mn scrmn 1514131211109876543210 scrmn txemn 1 rxemn 1 dapmn 0/1 ckpmn 0/1 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0/1 0 slcmn1 0 slcmn0 0 0 1 dlsmn1 1 dlsmn0 0/1 ??? 0 msb ? / 1 lsb ? / ??? 0 7 ? 1 8 ? ???? ? ?14.3 ???? ? (c) ?? mn sdrmn 8 siop 1514131211109876543210 sdrmn 0000000 ?? 0 ?? / ?? (d) ? m som ?? ??? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0 0 0 0 1 som2 1 som0 0/1 (e) ? m soem ?? ?? ?1? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 545 2014.10.31 ? 14-91 ?? csi00 ?????? (2/2) ? ??? siop ??? ? 1. m ? m=0 n ? n=0 p csi ? p=00 2. csi ??????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (f) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 ssm1 ssm0 0/1 (g) ?? isc ?? csi00 ? ? 0 ? 0 ssi00 ??? 76543210 isc ssie00 0/1 0 0 0 0 0 0 0 0 ssi00 ?? 1 ssi00 ??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 546 2014.10.31 (2) ? 14-92 ?????? ? ??? siop ??? ? m ? m=0 n ? n=0 p csi ? p=00 a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, < a?nscrmn?, < a?nsdrmn?, < a?nsom?, <  soem?, <,xa?n a?n0? misc?, < ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? a?n> db(somn),x??eg?+ g? soemn!5b?1?a?b5e?f',x dbeg?? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5by? 'e2y?t2a?? a?ndb eg?!a?nn"sf'$?u?c?? mssm?, < ??a?n,x43 "?(m)[(bit159)5b?0000000b?? 43??a?n? e?e?4-siop?, <(sdrmn?, <,x bit70)a?n e?db1y{a?? ,xjs? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? ssie00!5b?1?a?e?f'0,x2 ey?s6?,xe> ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 547 2014.10.31 ? 14-93 ???? ? m ? m=0 n ? n=0 p csi ? p=00 !6a?n,x?? !6a?n,x43 b5e?f',xstmn!5b?1? (semn=0?5be> 0!6(??)? 43!6a?ne9??t?)? soemn!5b?0?0!6b5e?f',xeg?? mstm?, <  soem?, <,xa?n tsfmn = 0? vpy!7 ?e?,xdb1y?e?43 (vpl??u2?0!61y)? yes no 2?0!6 bl??u b5e?f' ,x> db(somn),x+ g? 0!6> l ? ),xjs5b!(???  som?, <,xa?n a?nper0?, < (??u) (ey?) (??u) (ey?) (ey?)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 548 2014.10.31 ? 14-94 ?????? ? 1. ??? siop ??? 2. ??? per0 ?????????? ???? ?????? g????,xa?n?? 0? ?0  spsm?, <,xa?n  som?, <,xa?n 0? ?0 mssm?, < g????,xa?n43 e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5b? ?u e> js,xa?ng??a?n? a?n> db(somn),x??eg?+ g? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5by? 'e2y?t2a?? a?ndb eg?!a?nn"sf'$?u?c?? b5e?f',xssmn!5b?1? (semn=1?5be> a?(??)? e?e?4-siop?, <(sdrmn?, <,x bit70)a?n e?db1y{a?? ,xjs? (ey?) (ey?) (ey?) (ey?) (ey?) (??u) (??u)
|e? (??u) (ey?) soemn!5b?1?a?b5e?f',x dbeg?? (ey?)  soem?, <,xa?n soemn!5b?0?0!6b5e?f',x eg?? (ey?)  soem?, <,xa?n {a????`!?? (??u) no yes 1e?b5({a??)0!65e > 43? ?u > ???, < mn(smrmn) ,xa?ng??a?n? ?u > e?e> a?n?, 5b!,x(?? e?e?> ??#l8?o ?, #l8? #l8ja??? misc?, < ssie00!5b?1?a?e?f'0,x2 ey?s6?,xe> ? (??u)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 549 2014.10.31 (3) ???? ? 14-95 ?? ?????? 1 dapmn=0 ckpmn=0 ? m ? m=0 n ? n=0 p csi ? p=00 ssmn semn sdrmn sckp6? sip6? intcsip tsfmn m m sop6? stmn /?!?, db3 y
db2 y
db1 a? y
db1 y
db2 y
db3 y
&/?!e> y
&/?!e> y
&/?!e> e?db1 e?db2 e?db3 db e?
`y
db e?
`y
db e?
`y
a? a? sslp6? m
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 550 2014.10.31 ? 14-96 ?? ????? ? ??? siop ??? ? m ? m=0 n ? n=0 p csi ? p=00 csie?,x?? 4-stmn!m?1? e?
` y
??tdb? no yes e?,x43 4-siop(=sdrmn[7:0]) m e?db vpe?e??e?43{*? e@/???)/?c? ?e?dbtd.baxy??t e?
`y
db? a?n e?
`y ?db yg??a?na? ?'; 14-92 (ey??e?43?)? sau,x??a?n 1y e?
`y
,x43 a?? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? /u!6?(#;) b e?
`y
dba?n, 
`dbtd (e?e?ec??a?nyf?ram,x, ? e?db?j\?y
db?j\
`e?dbtd)? e?e?{a??o,xjs ??e?? 4?? dbjm, ?y
db?j\? siop(=sdrmn[7:0]) a?y
db reti e?
`y
43? /?c /?c ??)/?c
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 551 2014.10.31 (4) ???? ? 14-97 ?? ?????? 1 dapmn=0 ckpmn=0 ? 1. ???? mn ssrmn bffmn ? ?1? ? ???? mn sdrmn ? sdrmn ??? 2. ??? sdrmn ?????? ? ?????? mn smrmn mdmn0 ?????? ??????? ? 1. ???? ? ? 14-98 ?? ????? ? ??? 2. m ? m=0 n ? n=0 p csi ? p=00 ssmn semn sdrmn sckp6? sip6? intcsip tsfmn m m sop6? bffmn m mdmn0 stmn e?db1 e?db2 e?db3 y
db1 y
db2 y
db3 y
db1 y
db2 y
db3 e?db1 e?db2 e?db3 db e?
`y
db e?
`y
db e?
`y
a? a? a? y
&/?!e> y
&/?!e> y
&/?!e> /?!?, "?1 < 9 "?2 "?2 sslp6?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 552 2014.10.31 ? 14-98 ?? ????? ? ??? siop ??? ? 1. ???? ? ? 14-97 ?? ?????? ? ??? 2. m ? m=0 n ? n=0 p csi ? p=00 a?n e?
`y
db 4-stmn!m?1? yg??a?na? ?'; 14-92 (ey?4?? <0n?)? sau,x??a?n =1 =0 yes yes no no 12 e?,x43 yes no 4?4e?? yes e?dbtd ? 9< ; > 7 b e?
`y
dba?n, 
`dbtd (e?e?ec??a?nyf?ram,x, ? e?db?j\?y
db?j\
`e?dbtd)? 4-siop(=sdrmn[7:0]) m e?db = : siop(=sdrmn[7:0]) a?y
db 1?t?l8a?y
dbj m, ?y
db?j\? reti csie? ,x?? vp *4?? <0n?e?43? e@/???)/?c? 4?? <0n?e?43? 1y?e?43 #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? a?? /u!6?(#;) /?c /?c ??)/?c 4-mdmn0!m?1? e?dbtd = 0? 4-mdmn0!m?0? e?dbtd?1 bffmn=1 ? e?e?{a??o,xjs??e?? vpy e?db(e?dbtd12) , a? e?dbjmsiop? ,?j\? vp e?43( e?dbtd=1)  ?e?43??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 553 2014.10.31 14.6.4 ???? ?? csi00 ???????? (1) ? ??? f mck /6 ? m ? m=0 n ? n=0 p csi ? p=00 14-3 ????? ? ???? f clk ? ?????? ckc ????? sau ???? m stm =000fh ? ? 1. x 2. m ? m=0 n ? n=0 ??? = { ??? sck ? } ? [hz] smrmn ? spsm ? ? f mck ? cksmn prs m13 prs m12 prs m11 prs m10 prs m03 prs m02 prs m01 prs m00 f clk =24mhz ? 0 xxxx 0000 f clk 24mhz xxxx 0001 f clk /2 12mhz xxxx 0010 f clk /2 2 6mhz xxxx 0011 f clk /2 3 3mhz xxxx 0100 f clk /2 4 1.5mhz xxxx 0101 f clk /2 5 750khz xxxx 0110 f clk /2 6 375khz xxxx 0111 f clk /2 7 187.5khz xxxx 1000 f clk /2 8 93.75khz xxxx 1001 f clk /2 9 46.88khz xxxx 1010 f clk /2 10 23.44khz xxxx 1011 f clk /2 11 11.72khz xxxx 1100 f clk /2 12 5.86khz xxxx 1101 f clk /2 13 2.93khz xxxx 1110 f clk /2 14 1.46khz xxxx 1111 f clk /2 15 732hz
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 554 2014.10.31 14.6.5 ??????????? ??????????? ? 14-99 ? ? 14-99 ??? ? m ? m=0 n ? n=0 ??? ? ?? mn sdrmn ssrmn ? bffmn ? ?0? ? n ???? ????? ?? ??? mn ssrmn ???? ? ?? mn sirmn ?1? ? ? ssrmn ????? sirmn ???
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 555 2014.10.31 14.7 uart uart0 uart1 ?? ?? txd ?? rxd 2 ??????? 2 ? ?? ????????? ??? ?????????????? 2 ??????? [ ???? ] ?7 8 9 ? ? ?msb/lsb ?? ? ??????? ? ???? ? ??? [ ? ] ? ?? ? ??? [ ? ] ? ?? ? uart ? snooze ?? snooze ??? stop ?????? rxd ??? cpu ??? 000c2h frqsel4 ? ?0? ?? uart ? snooze ?? ? uart0 ? ? uart ? 9 ?? ?uart0 uart0 ? sau0 ? 0 ? 1 uart1 ? sau0 ? 2 ? 3 ?????????? 0 ? 0 ? 1 ? uart0 ?? csi00 iic00 ?? uart1 ????? 0 ? 1 ? csi00 uart0 iic00 ? uart ?? ???? ?? uart uart 2 ? ? uart 14.7.1 ? uart 14.7.2 ? ? csi uart i 2 c 00 c s i 0 0 ????? uart0 iic00 1? ? 2? u a r t 1 ? 3? ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 556 2014.10.31 14.7.1 uart uart R7F0C015 r7f0c016 ?? uart ?? 2 ??? uart ? ? 1. ? uart ? 9 ?? ?uart0 2. ? ? 28 ??? ? 1. f mck ??? f clk ???? 2. m ? m=0 n ? n=0 2 mn=00 02 uart uart0 uart1 ? sau0 ? 0 sau0 ? 2 ?? txd0 txd1 intst0 intst1 ?? ??? ?? ? ? 7 8 9 ? 1 max. f mck /6[bps] sdrmn[15:9] 2 min. f clk /(2 2 15 128)[bps] ? 2 ???? ???? ? ?? ? ? ? ? ? ? ?? ?? ? 1 ? 2 ? msb ? lsb
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 557 2014.10.31 (1) ?? ? 14-100 uart uart0 uart1 uart ???? (1/2) txdq ? 9 ???? sdrm0 ? bit0 8 ???? uart ? 9 ???? ?uart0 ? 1. m ? m=0 n ? n=0 2 q uart ? q=0 1 mn=00 02 2. uart ????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 0 0 0 0 0 0 0 0 0 1 0 0 mdmn2 0 mdmn1 1 mdmn0 0/1 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 ? n ? 0 ? 1 (b) ??? mn scrmn 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 scrmn txemn 1 rxemn 0 dapmn 0 ckpmn 0 0 eocmn 0 ptcmn1 0/1 ptcmn0 0/1 dirmn 0/1 0 slcmn1 0/1 slcmn0 0/1 0 1 dlsmn1 0/1 dlsmn0 0/1 ?? 00b ? 01b 10b ? 11b ??? 01b 1 10b 2 ??? 0 msb ? 1 lsb ? (c) ?? mn sdrmn 8 txdq 1514131211109876543210 sdrmn ?? 0 ? ?? (d) ?? m solm ?? ??? 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 solm 0 0 0 0 0 0 0 0 0 0 0 0 0 solm2 0/1 0 solm0 0/1 0 ? 1 ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 558 2014.10.31 ? 14-100 uart uart0 uart1 uart ???? (2/2) ? ????? solmn ? ?0? ? ?1? ?? solmn ? ?1? ? ?0? ?????? ? 1. m ? m=0 n ? n=0 2 q uart ? q=0 1 mn=00 02 2. uart ????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (e) ? m som ?? ??? 1514131211109876543210 som 0 0 0 0 0 1 1 1 ckom0 0 0 0 0 1 som2 0/1 ? 1 som0 0/1 ? 0 ?? ?0? 1 ?? ?1? (f) ? m soem ?? ?? ?1? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0/1 0 soem0 0/1 (g) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 0/1 ssm1 ssm0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 559 2014.10.31 (2) ? 14-101 uart ???? ? 14-102 uart ?? a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, < a?nscrmn?, < a?nsdrmn?, < a?nsom?, <  soem?, <,xa?n a?n0? mssm?, < ??a?n,x43 ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? a?n> db(somn),x??eg?+ g?  solm?, <,xa?n a?neg?db,x+ g? soemn!5b?1?a?b5e?f',x dbeg?? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5by? 43??a?n? e?e?4-sdrmn[7:0](txdq?, < 8!)5sdrmn[8:0](9!)a?n e? db??e?? b5e?f',xssmn!5b?1?ssemn ! ??1?(e> a?(??)? a?n?e?"?(m)[(a?ne> js(f mck ) ne,x?e?js)? !6a?n,x?? !6a?n,x43 b5e?f',xstmn!5b?1? (semn=0?5be> 0!6(??)? 43!6a?ne9??t?)? soemn!5b?0?0!6b5e?f',xeg?? mstm?, <  soem?, <,xa?n tsfmn = 0? vpy!7 ?e?,xdb1y?e?43 (vpl??u2?0!61y)? yes no 2?0!6 bl??u b5e?f' ,x> db(somn),x+ g? 0!6> l ? ),xjs5b!(???  som?, <,xa?n a?nper0?, < (??u) (ey?) (??u) (ey?) (ey?)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 560 2014.10.31 ? 14-103 ?? uart ?? ? ??? per0 ????????????????? ??? g????,xa?n?? 0? ?0  spsm?, <,xa?n  sdrmn?, <,xa?n  smrmn?, <,xa?n  som?, <,xa?n 0? ?0 mssm?, < g????,xa?n43 e?e?a?n0? ???, <b5e? f',xdbeg?5b? ?u e> js,xa?ng??a?n? ?u ?e?"?(m)[,xa?n(a?ne> js(f mck )ne,x?e?js )g?? a?n? ?u > ???, db(somn),x??eg?+ g? e?e?a?n0? ?, <
`0? ???, <b5e?f',xdbeg?5by? b5e?f',xssmn!5b?1?ssemn ! ??1?(e> a?(??)? a?n43? e?e?4-sdrmn[7:0](txdq?, < 8!)5sdrmn[8:0](9!)a?n e? db??e?? (??u) (ey?) (ey?) (ey?) (ey?) (??u) (??u) ?u > e?e> a?n?, eg?+ g?, R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 561 2014.10.31 (3) ?? ? 14-104 uart ???? ? m ? m=0 n ? n=0 2 q uart ? q=0 1 mn=00 02 ssmn semn sdrmn txdq6? intstq tsfmn p sp st st p sp st p sp stmn /?!?, /?!e> /?!e> db e? db e? db e?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 562 2014.10.31 ? 14-105 uart ??? uarte?,x?? 4-stmn!m?1? e?43? no yes e?,x43 vp *?e?43? e@/???)/?c? a?n e?db yg??a?na? ?'; 14-101 (ey??e?43?)? sau,x??a?n 1y e?43 ?e?43? a?? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? /u!6?(#;) a?n e?db
`dbtd#l8e?43?? (e?e?ec??a?nyf?ram,x, ? e?db?j\?e?dbtd
`e?43??)? e?e?.baxe?43??9?1 e?43? e?e?m sdrmn[7:0]  ?? e?? , a? e?dbjmtxdq ? e?db?j\? vpyha1 e?,xdb, a? e?dbjmsiop? e?db?j\?  e?43?? 5b!? /?c /?c ??)/?c e???tdb? no e?43??5b! reti yes 4-sdrmn[7:0](txdq?, < 8!)5sdrmn[8:0](9!) m e?db 4-sdrmn[7:0](txdq?, < 8!)5sdrmn[8:0](9!) m e?db
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 563 2014.10.31 (4) ?? ? 14-106 uart ???? ? ???? mn ssrmn bffmn ? ?1? ? ???? mn sdrmn ? sdrmn ??? ? ?????? mn smrmn mdmn0 ?????? ??????? ? m ? m=0 n ? n=0 2 q uart ? q=0 1 mn=00 02 ssmn semn sdrmn txdq6? intstq tsfmn p st st p st p sp bffmn 7 88 9 "? 8 9 ; < 9 : mdmn0 stmn sp sp e?db1 e?db2 e?db3 /?!?, /?!e> /?!e> db e? db e? db e?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 564 2014.10.31 ? 14-107 uart ??? ? ????? ? ? 14-106 uart ???? ? ??? a?n e?db 4-stmn!m?1? yg??a?na? ?'; 14-101 (ey?4?? <0n?)? sau ,x ??a?n yes no no e? ,x 43 yes no 4?4e?? yes e?dbtd > 0? 8 9 :; < 7 a?n e?db?j\
`dbtd#l8e?43 ??(e?e?ec??a?nyf?ram,x, ? e?db?j\?e?dbtd
`e?43 ??)? 4-mdmn0!m?0? vpy e?db, a? e?dbj mtxdq? e?db?j\
` e?dbtd? vp"uy e?db mdmn!?1?#l8 mdmn!? 43 e?? e?dbtd?1 reti e?43? vp *4?? <0n?e?43? e@/???)/?c? 4?? <0n?e?43? 4-mdmn0!m?1? yes no mdmn = 1? e?43??5b! 1y e?43 #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ei)? a?? /u!6?(#;) e?e?.bax e? 43??9?1 e?43? e?e?m sdrmn[7:0]  ?? e?? , a? e?dbjmtxdq ? e?db?j\? /?c /?c ??)/?c uarte?,x?? 8 4-sdrmn[7:0](txdq?, < 8!)5sdrmn[8:0](9!) m e?db 4-sdrmn[7:0](txdq?, < 8!)5sdrmn[8:0](9!) m e?db
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 565 2014.10.31 14.7.2 uart uart R7F0C015 r7f0c016 ?? uart ?? 2 ?? uart ??????? smr ? ? 1. ? uart ? 9 ?? ?uart0 2. ? ? 28 ??? ? 1. f mck ??? f clk ???? 2. m ? m=0 n ? n=1 3 mn=01 03 uart uart0 uart1 ? sau0 ? 1 sau0 ? 3 ?? rxd0 rxd1 intsr0 intsr1 ??? ??? intsre0 intsre1 ? ? ?? fefmn ? ?? pefmn ? ? ovfmn ? 7 8 9 ? 1 max. f mck /6[bps] sdrmn[15:9] 2 min. f clk /(2 2 15 128)[bps] ? 2 ???? ???? ? ?? ? ? ? ? ? ? ? ? ?? 1 ? msb ? lsb
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 566 2014.10.31 (1) ?? ? 14-108 uart uart0 uart1 uart ???? (1/2) rxdq ? 9 ???? sdrm1 ? bit0 8 ???? uart ? 9 ???? ?uart0 ? uart ??? n ??? r smrmr ? ? 1. m ? m=0 n ? n=1 3 mn=01 03 r ? r=n?1 q uart ? q=0 1 2. uart ????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 0 0 0 0 0 0 stsmn 1 0 sismn0 0/1 1 0 0 mdmn2 0 mdmn1 1 mdmn0 0 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 0 ? 1 ? n ?? 0 ? (b) ??? mr smrmr 1514131211109876543210 smrmr cksmr 0/1 ccsmr 0 0 0 0 0 0 0 0 0 1 0 0 mdmr2 0 mdmr1 1 mdmr0 0/1 cksmn ??? ? r ?? 0 ? 1 (c) ??? mn scrmn 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 scrmn txemn 0 rxemn 1 dapmn 0 ckpmn 0 0 eocmn 1 ptcmn1 0/1 ptcmn0 0/1 dirmn 0/1 0 0 0 0 1 dlsmn1 0/1 dlsmn0 0/1 ?? 00b ? 01b ? 10b ? 11b ??? 0 msb ? 1 lsb ? ??? (d) ?? mn sdrmn 8 rxdq 1514131211109876543210 sdrmn ?? 0 ? ??
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 567 2014.10.31 ? 14-108 uart uart0 uart1 uart ???? (2/2) ? 1. m ? m=0 n ? n=1 3 mn=01 03 r ? r=n?1 q uart ? q=0 1 2. uart ????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (e) ? m som ?? ???? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0 0 0 0 1 som2 1 som0 (f) ? m soem ?? ???? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0 (g) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 0/1 ssm2 ssm1 0/1 ssm0
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 568 2014.10.31 (2) ? 14-109 uart ???? ? ? scrmn ? rxemn ?1? ? 4 f mck ??? ssmn ?1? ? 14-110 uart ?? a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, <
`smrmr?, < a?nscrmn?, < a?nsdrmn?, < a?n0? mssm?, < ??a?n,x43 ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? e?e?a?n0? ???, <b5e? f',xdbeg?5by? b5e?f',xssmn!5b?1?ssemn ! ??1?(e> a?(??)1yck? !,x?#? a?n?e?"?(m)[ (a?ne> js (f mck ) ne,x?e?js )? !6a?n,x?? !6a?n,x43 b5e?f',xstmn!5b?1? (semn=0?5be> 0!6(??)? 43!6a?ne9??t?)? mstm?, < tsfmn = 0? vpy!7 ?e?,xdb1y?e?43 (vpl??u2?0!61y)? yes no 0!6> l ? ),xjs5b!(??? a?nper0?, < (??u) (ey?) (ey?)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 569 2014.10.31 ? 14-111 ?? uart ?? ? ? scrmn ? rxemn ?1? ? 4 f mck ??? ssmn ?1? ? ??? per0 ????????????????? ??? g????,xa?n??  spsm?, <,xa?n  sdrmn?, <,xa?n  smrmn?, <
` smrmr?, <,xa?n a?n0? mssm?, < g????,xa?n43 ?u e> js,xa?ng??a?n? ?u ?e?"?(m)[,xa?n(a?ne> js(f mck )ne,x?e?js )g?? a?n? ?u > ???, a?(??)1yck? !,x?#? (ey?) (ey?) (ey?) (??u) (??u) ?u > e?e> a?n?, 5b! ,x(??e?e?> ??#l8?o ? , #l8? #l8ja???
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 570 2014.10.31 (3) ? 14-112 uart ??? ? m ? m=0 n ? n=1 3 mn=01 03 r ? r=n?1 q uart ? q=0 1 ssmn semn sdrmn rxdq6? intsrq tsfmn p st st p st p stmn sp sp sp /?!?, db1 y
db1 y
db2 y
db2 y
db3 y
db3 /?!e> /?!e> /?!e> dby
dby
dby

R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 571 2014.10.31 ? 14-113 uart ?? uarte?,x?? 4-stmn!m?1? y
43? !7 y
? no no yes yes uarte?,x43 reti e?e?y
43{*?? a?ny
db yg??a?na? ?'; 14-109 (a?n#;ja??)? sau,x??a?n 1yy
43 ?e?43? a?? #l8?a?"??(xxif)j?l8? #;(xxmk) a5ba??(ei)? a?n y
db,x, 
`e?dbtd (e?e?ec??a?nyf?ram,x, ? y
db?j\
`e?dbtd)? .baxy
dbtd??y
43? e?e??#ck?!??y
? a?y
dbjm, y 
dbtde> e?raud? ? y
db?j\? ja??) a? sdrmn[7:0](rxdq ?, < 8!)5sdrmn[8:0](9!) e?db /u!6?(#;) /?c /?c ??)/?c
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 572 2014.10.31 14.7.3 snooze ?? stop ??? rxdq ?? uart ???? stop ?? uart ?????? snooze ?? cpu ??? uart ????? 000c2h frqsel4 ? ?0? ?? uart ? snooze ?? ? uart0 ? snooze ??? uartq ??? stop ???? ? ? 14-116 ? 14-118 snooze ??? ? ? snooze ??? uart ??? ????? 14-4 ? spsm ? sdrmn ? [15:9] ? ? eocmn ssecmn ??????? intsre0 ? ???? stop ????? m sscm swcm ?1? ??? ????? m ssm ssm1 ?1? ?? stop ??? rxdq ? ??? uart ? ? 1. ???? f ih ? f clk ?? snooze ?? 2. snooze ????? 4800bps 3. swcm ?1? ? stop ????? uartq snooze ???? ? stop ??????????? ? ? swcm ?1? ?? stop ????? ? snooze ???? ? ??? stop ????? swcm ?0? ??? 4. ssecm ?1? ???? pefmn fefmn ovfmn ? ? intsreq ? ssecm ? ?1? ??? swc0 ?1? ? pefmn fefmn ovfmn ?? sdrm1 ? bit7 0 rxdq 5. ? rxdq ???? snooze ?? ??????? uart ? snooze ???? ? uart ??? 14-4 snooze ?? uart ??? ? ?? uart ???? ??????? ? f ih snooze ?? uart ? 4800bps ? f mck sdrmn[15:9] ? ? 24mhz2.0% f clk /2 5 79 0.60% ?1.18% 16mhz2.0% f clk /2 4 105 1.27% ?0.53% 12mhz2.0% f clk /2 4 79 0.60% ?1.19% 8mhz2.0% f clk /2 3 105 1.27% ?0.53% 6mhz2.0% f clk /2 3 79 0.60% ?1.19% 4mhz2.0% f clk /2 2 105 1.27% ?0.53% 3mhz2.0% f clk /2 2 79 0.60% ?1.19% 2mhz2.0% f clk /2 105 1.27% ?0.54% 1mhz2.0% f clk 105 1.27% ?0.57%
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 573 2014.10.31 (1) snooze ?? eocm1=0 ssecm=0/1 ? eocm1 ? ?0? ssecm ???????? intsreq ?? intsrq ? 14-114 snooze ?? eocm1=0 ssecm=0/1 ?? ? swcm ? ?1? ???? ? snooze ???? snooze ?????? stm1 ?1? sem1 ?? ??? swcm snooze ?? ? 1. ??? ? ? ? 14-116 snooze ?? eocm1=0 ssecm=0/1 eocm1=1 ssecm=0 ? ? ?? 2. m=0 q=0 ss01 se01 swc0 ssec0 l eoc01 l sdr01 intsr0 intsre0 l tsf01 7 8 9 ; < > = ? : st01 rxd06? p st p sp sp st @ cpue> (?? e? e> stop?? snooze?? e? e> y
db1 y
db2 y
db2 y
db1 /?!e> /?!e> dby
dby
/?!?, <01 jsa?" ? (yf? ?) a? "?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 574 2014.10.31 (2) snooze ?? eocm1=1 ssecm=0 intsreq ? eocm1 ? ?1? ssecm ? ?0? ???? intsreq ? 14-115 snooze ?? eocm1=1 ssecm=0 ?? ? swcm ? ?1? ???? ? snooze ???? snooze ?????? stm1 ?1? sem1 ?? ??? swcm snooze ?? ? 1. ??? ? ? ? 14-116 snooze ?? eocm1=0 ssecm=0/1 eocm1=1 ssecm=0 ? ? ?? 2. m=0 q=0 ss01 se01 swc0 ssec0 l eoc01 sdr01 intsr0 intsre0 l tsf01 7 8 9 ; < > = : st01 rxd06? p st p sp sp st @ cpue> (?? e? e> stop?? snooze?? e? e> jsa?" ? (yf? ?) /?!?, <01 y
db1 y
db1 y
db2 y
db2 /?!e> /?!e> dby
dby
? a? "?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 575 2014.10.31 ? 14-116 snooze ?? eocm1=0 ssecm=0/1 eocm1=1 ssecm=0 ? ? 1. ??? ? ? ? 14-114 snooze ?? eocm1=0 ssecm=0/1 ?? ? ? ? 14-115 snooze ?? eocm1=1 ssecm=0 ?? ? ?? 2. m=0 q=0 n=0 3 ?#rxdq,xe?"? (e@/?snooze??) ojs (uarty
e> )  ? @ ?l8snooze??,xa?n? < snooze?? ?e? ,x e> (??? e?y(??? a?n,x?? {*?e?? (intsrq) 5ja?? (intsreq) ze@/?stop??0!6 ? e?f'1a?nuarty
( snooze?? ,xuarty
"?(m)[(spsm?, <
`sdrm1 ?, <[15:9])) ? ?e> 0!6(??(sem1=0)? ?e?y(??(sem1=1)? #l8?a?"??(xxif)j?l8?#; (xxmk) a5ba??(ie)? a?? e? e> snooze?? stop?? e? e> 4-ssm1!m?1? sem1=1 4-stm1!m?1? 4-swcm!m?0? 4-ssmn!m?1? 4-stmn!m?1? semn=0 intsrq e? e> a?sdrmn[7:0](rxdq ?, <8!) 5sdrmn[8:0](9!)y
db a?sdrmn[7:0](rxdq ?, <8!) 5sdrmn[8:0](9!)y
db 4-stm1!m?1? 4-swcm!m?0?  e? e> ,xuart y
"?(m)[  e? e> ,xuart y
"?(m)[ 4-ssmn!m?1? intsreq ja??) e? e> a?nspsm?, <
`sdrm1 ?, <[15:9]?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 576 2014.10.31 (3) snooze ?? eocm1=1 ssecm=1 ?? intsreq ? eocm1 ? ?1? ssecm ? ?1? ???? intsreq ? 14-117 snooze ?? eocm1=1 ssecm=1 ?? ? swcm ? ?1? ???? ? 1. snooze ???? snooze ?????? stm1 ?1? sem1 ?? ??? swcm snooze ?? 2. ssecm ? ?1? ???? pefm1 fefm1 ovfm1 ? ? intsreq ? ssecm ? ?1? ??? swcm ?1? ? pefm1 fefm1 ovfm1 ?? sdrm1[7:0] rxdq ? 8 sdrm1[8:0] 9 ? 1. ??? ? ? ? 14-118 snooze ?? eocm1=1 ssecm=1 ? ? ?? 2. m=0 q=0 l 7 8 9 ; < = < ? : p p sp sp st =? > ; st ss01 se01 swc0 ssec0 sdr01 intsr0 intsre0 tsf01 st01 rxd06? @ cpue> (?? e? e> stop?? snooze?? stop?? snooze?? e? e> y
db1 y
db2 y
db2 y
db1 /?!e> /?!e> dby
dby
/?!?, <01 jsa?" ? (yf? ?) a? "? eoc01
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 577 2014.10.31 ? 14-118 snooze ?? eocm1=1 ssecm=1 ? ?#rxdq,xe?"? (e@/?snooze??) ojs (uarty
e> ) ?#rxdq,xe?"? (e@/?snooze??) ojs (uarty
e> )  ? @ ?l8snooze??,xa?n? < snooze?? ?e? e> (??? e?y(??? a?n,x?? ze@/?stop??0!6 ? #l8 0!6(??(sem1=0)? #l8?a?"??(xxif)j?l8?#; (xxmk) a5b/u!6?(di)? a?n? sirm1=0007h e? e> snooze?? stop?? snooze?? stop?? e? e> 4-ssm1!m?1? sem1=1 4-stm1!m?1? 4-stmn!m?1? semn=0 e? e> ?#y
ja? {*?e??(intsrq)  *ja?cpua ?e@/?stop(?? 1ja???5b!? a?nsscm?, < (swcm=0?ssecm=0) e?f'1a?nuart y
( snooze?? ,xuarty
"?(m)[(spsm?, <
`sdrm1 ?, <[15:9]))? intsrq a?sdrmn[7:0](rxdq ?, <8!) 5sdrmn[8:0](9!)y
db  e? e> ,x uarty
"?(m)[ 4-ssmn!m?1? ?e> 0!6(??(semn=1) ? a?nspsm?, <
`sdrm1?, <[15:9]?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 578 2014.10.31 ? ssecm ? ?1? ???? pefm1 fefm1 ovfm1 ? ? intsreq ? ssecm ? ?1? ??? swc0 ?1? ? pefm1 fefm1 ovfm1 ?? sdrm1[7:0] rxdq ? 8 sdrm1[8:0] 9 ? 1. ??? ? ? ? 14-117 snooze ?? eocm1=1 ssecm=1 ?? ? ?? 2. m=0 q=0 n=0 3 14.7.4 ?? (1) ??? uart uart0 uart1 ?????? ? ??? mn sdrmn sdrmn[15:9] ?? ?0000000b? ?0000001b? ? 1. ?? uart ? sdrmn[15:9] ?? sdrmn ? bit15 9 ? 0000010b 1111111b ? 2 127 2. m ? m=0 n ? n=0 3 mn=00 03 ? f mck ????? m spsm ???? mn smrmn bit15 cksmn ? = { ?? f mck ? } (sdrmn[15:9] + 1) 2 [bps]
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 579 2014.10.31 14-5 uart ??? ? ???? f clk ? ?????? ckc ????? sau ???? m stm =000fh ? ? 1. x 2. m ? m=0 n ? n=0 3 mn=00 03 smrmn ? spsm ? ? f mck ? cksmn prs m13 prs m12 prs m11 prs m10 prs m03 prs m02 prs m01 prs m00 f clk =24mhz ? 0 xxxx 0000 f clk 24mhz xxxx 0001 f clk /2 12mhz xxxx 0010 f clk /2 2 6mhz xxxx 0011 f clk /2 3 3mhz xxxx 0100 f clk /2 4 1.5mhz xxxx 0101 f clk /2 5 750khz xxxx 0110 f clk /2 6 375khz xxxx 0111 f clk /2 7 187.5khz xxxx 1000 f clk /2 8 93.75khz xxxx 1001 f clk /2 9 46.88khz xxxx 1010 f clk /2 10 23.44khz xxxx 1011 f clk /2 11 11.72khz xxxx 1100 f clk /2 12 5.86khz xxxx 1101 f clk /2 13 2.93khz xxxx 1110 f clk /2 14 1.46khz xxxx 1111 f clk /2 15 732hz 1 0000 xxxx f clk 24mhz 0001 xxxx f clk /2 12mhz 0010 xxxx f clk /2 2 6mhz 0011 xxxx f clk /2 3 3mhz 0100 xxxx f clk /2 4 1.5mhz 0101 xxxx f clk /2 5 750khz 0110 xxxx f clk /2 6 375khz 0111 xxxx f clk /2 7 187.5khz 1000 xxxx f clk /2 8 93.75khz 1001 xxxx f clk /2 9 46.88khz 1010 xxxx f clk /2 10 23.44khz 1011 xxxx f clk /2 11 11.72khz 1100 xxxx f clk /2 12 5.86khz 1101 xxxx f clk /2 13 2.93khz 1110 xxxx f clk /2 14 1.46khz 1111 xxxx f clk /2 15 732hz
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 580 2014.10.31 (2) ?? uart uart0 uart1 ?????????? ????? f clk =20mhz ? uart ??? ? m ? m=0 n ? n=0 2 mn=00 02 ? = ??? ???? 100 ? 100 [%] uart ??? f clk =20mhz ? f mck sdrmn[15:9] ??? ??? 300bps f clk /2 9 64 300.48bps +0.16% 600bps f clk /2 8 64 600.96bps +0.16% 1200bps f clk /2 7 64 1201.92bps +0.16% 2400bps f clk /2 6 64 2403.85bps +0.16% 4800bps f clk /2 5 64 4807.69bps +0.16% 9600bps f clk /2 4 64 9615.38bps +0.16% 19200bps f clk /2 3 64 19230.8bps +0.16% 31250bps f clk /2 3 39 31250.0bps 0.0% 38400bps f clk /2 2 64 38461.5bps +0.16% 76800bps f clk /2 64 76923.1bps +0.16% 153600bps f clk 64 153846bps +0.16% 312500bps f clk 31 312500bps 0.0%
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 581 2014.10.31 (3) ??? uart uart0 uart1 ??????????? ?????? brate ????? ? 14.7.4(1) ??? ? k sdrmn[15:9]+1 nfr 1 ?? [ ] = ? + ?? + ? + ?? ? m ? m=0 1 n ? n=1 3 mn=01 03 ? 14-119 ??? 1 ?? =11 ? 14-119 ???????????? mn sdrmn bit15 9 ???? ???????? ??? = 2 k nfr brate 2 k nfr ? k + 2 ??? = 2 k (nfr ? 1) brate 2 k nfr ? k ? 2 fl 1tdb?(11fl) (11fl) min sau,x db?ks ck?! bit0 bit1 bit7 bit0 bit1 bit7 bit0 bit1 bit7 j ?p`! ???a? db?ks ???a? db?ks 0!6! ck?! j ?p`! j?, c 0!6! ck?! j ?p`! 0!6! (11fl) max
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 582 2014.10.31 14.7.5 uart uart0 uart1 ????? uart uart0 uart1 ????? ? 14-120 ? 14-121 ? ? 14-120 ???? ? 14-121 ???? ? m ? m=0 n ? n=0 3 mn=00 03 ??? ? ?? mn sdrmn ssrmn ? bffmn ? ?0? ? n ???? ????? ?? ??? mn ssrmn ???? ? ?? mn sirmn ?1? ? ? ssrmn ????? sirmn ??? ??? ? ?? mn sdrmn ssrmn ? bffmn ? ?0? ? n ???? ????? ?? ??? mn ssrmn ???? ? ??? mn sirmn ? ? ssrmn ????? sirmn ??? ???? m stm stmn ?1? ???? m sem sem n ? ?0? ? n ??? ?? ??? ??????? ?????? ???? ??? m ssm ssmn ?1? ???? m sem semn ? ?1? ? n ?? ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 583 2014.10.31 14.8 i 2 c iic00 ?? ?? scl ? sda 2 ???????? i 2 c ? eeprom a/d ?????? ???? ac ??????? [ ???? ] ? ??? ????? ?ack ? ack ? ?8 ? ????? 7 ?? r/w ? ? ???? [ ? ] ? ? [ ? ] ? ?ack [ i 2 c ??? ] ? ? ? ???? ? ?? ? ?? soemn soem ? ?0? ????? ack ? ?14.8.3(2) ? ? m ? m=0 n ? n=0 mn=00 sau0 ? 0 ?? i 2 c iic00 ? i 2 c iic00 4 ? ? ? 14.8.1 ? ? 14.8.2 ? ? 14.8.3 ? ??? 14.8.4 ? ? csi uart i 2 c 00 c s i 0 0 ????? uart0 iic00 1? ? 2? u a r t 1 ? 3? ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 584 2014.10.31 14.8.1 ?? ?????? i 2 c ?????? ??? 7 ?? 1 ? 1 ?? ? 1. ?? i 2 c ??????? pomxx ? n ? v dd ?? ? pomxx=1 ? ?4.3 ?????? ? ?4.5 ???????? ? ? iic00 ???????? / ? scl00 ?? n ? v dd ??? pomxx=1 ? ?4.4.4 ? / ?? 2.5v 3v ?? ? 2. ? ? 28 ??? ? m ? m=0 n ? n=0 mn=00 i 2 c iic00 ? sau0 ? 0 ?? scl00 sda00 ? 1 intiic00 ??? ???? ? ack ? pefmn ? 8 7 ??? 1 ? r/w ?? ? 2 max. f mck /4[hz] sdrmn[15:9] 1 f mck ??? ? i 2 c ??? ? max. 400khz ?? ? max. 100khz ??? ?? ???? ? ? ?? 1 ack ? ? msb
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 585 2014.10.31 (1) ?? ? 14-122 i 2 c iic00 ????? sior ? 1. m ? m=0 n ? n=0 r iic ? r=00 mn=00 2. iic ????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn 1514131211109876543210 smrmn cksmn 0/1 ccsmn 0 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 1 mdmn1 0 mdmn0 0 ? n ? f mck 0 spsm ????? ckm0 1 spsm ????? ckm1 ? n ?? 0 ? (b) ??? mn scrmn 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 scrmn txemn 1 rxemn 0 dapmn 0 ckpmn 0 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0 0 slcmn1 0 slcmn0 1 0 1 dlsmn1 1 dlsmn0 1 ?? 00b ? ??? 01b 1 ack (c) ?? mn sdrmn 8 sior 1514131211109876543210 sdrmn ?? 0 ?? ? +r/w (d) ? m som 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0/1 0 0 0 0 1 som2 1 som0 0/1 ? somn ? (e) ? m soem 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0 0/1 ??? soemn ? ?0? ?? soemn ? ?1? (f) ??? m ssm ?? ?? ?1? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 ssm1 ssm0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 586 2014.10.31 (2) ? 14-123 ????? ? ???? i 2 c iic00 ??????? a?ne> ??1? ??a?n,x?? a?nper0?, < a?nspsm?, < a? n smrmn ?, < a?nscrmn?, < a?nsdrmn?, < a?n0? ??a?n,x43 ?l8> l ? ),x!(???? ojs? a?ne> js? a?ne??? a?nsom?, < a?n> db(somn)
`> js (ckomn),x??eg?+ g (5b?1?)? e?e?a?n0? ?, <?0? ???, <
`0? eg????, <b5e? f',xdbeg??jseg?
`n"sf'$? u?c?eg?(v dd 5? _)??5by? a?n?e?"?(m)[ (a?ne> js (f mck ) ne,x?e?js )?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 587 2014.10.31 (3) ? 14-124 ???? ? m ? m=0 n ? n=0 r iic ? r=00 mn=00 d7 d6 d5 d4 d3 d2 d1 d0 r/w d7 d6 ssmn semn soemn sdrmn sclreg? sdar eg? sdar eg9 /?!?,  !? e? somn !?0 ckomn !?0
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 588 2014.10.31 ? 14-125 ??? 4- somn !m ?0? yes 4-ssmn!m ?1? no yes 4-soemn!m ?1? no ackh1(?  !?,x e? *?e?43? ? yg??a?na? ?'; 14-123? ??a?n 4-sior(sdrmn[7:0]) m  
`r/w,xdb 1y 4-ckomn!m ?0?  !?,x e?43 e?ja??) db e?#/??dby
#/?  somn !5b ?0? ? {*??5? .b scl  ?,x?k? s scl  ??l!??e?? a?> eg?? e?  !?? 1y  !? e?43 (#l8?a?"?? )? e?e?pefmn!.bax2a??,xackh1(? vpack(pefmn=0)e9??t? )vpnack(pefmn=1)e9j a??)? 5b> e> a?(???
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 589 2014.10.31 14.8.2 ? ?????? ??? ???? ? 1. ?? i 2 c ??????? pomxx ? n ? v dd ?? ? pomxx=1 ? ?4.3 ?????? ? ?4.5 ???????? ? ? iic00 ???????? / ? scl00 ?? n ? v dd ??? pomxx=1 ? ?4.4.4 ? / ?? 2.5v 3v ?? ? 2. ? ? 28 ??? ? m ? m=0 n ? n=0 mn=00 i 2 c iic00 ? sau0 ? 0 ?? scl00 sda00 ? 1 intiic00 ??? ???? ? ack ? pefmn ? 8 ? 2 max. f mck /4[hz] sdrmn[15:9] 1 f mck ??? ? i 2 c ??? ? max. 400khz ?? ? max. 100khz ??? ?? ???? ? ? ?? 1 ack ? ? msb
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 590 2014.10.31 (1) ?? ? 14-126 i 2 c iic00 ????? sior ? 1. ?????????? 2. ?????? ? 1. m ? m=0 n ? n=0 r iic ? r=00 mn=00 2. iic ????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn ?? ??????? 1514131211109876543210 smrmn cksmn 0/1 ccsmn 0 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 1 mdmn1 0 mdmn0 0 (b) ??? mn scrmn ?? ????? txemn rxemn ? 1514131211109876543210 scrmn txemn 1 rxemn 0 dapmn 0 ckpmn 0 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0 0 slcmn1 0 slcmn0 1 0 1 dlsmn1 1 dlsmn0 1 (c) ?? mn sdrmn 8 sior ?? ?????? 8 ? sior 1514131211109876543210 sdrmn ?? ? 1 0 ?? (d) ? m som ?? ??????? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0/1 ? 2 0 0 0 0 1 som2 1 som0 0/1 ? 2 (e) ? m soem ?? ??????? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0 soem0 1 (f) ??? m ssm ?? ??????? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 ssm2 ssm1 ssm0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 591 2014.10.31 (2) ? 14-127 ???? ? 14-128 ??? d7 d6 d5 d4 d3 d2 d1 d0 d7 d6 ssmn semn soemn sdrmn sclreg? sdareg? sdareg9 /?!?, < mn intiicr tsfmn d5 d4 d3 d2 d1 d0 ack /?!e> ?l? ?h? ?h? e?db1 yes yes no yes no ackh1(? db e?43? db e?,x?? *?e?43? ? 4-sior(sdrmn[7:0]) mdb db e?,x43 e?ja??) {*0!65? e?e?mdb?? e?? 1y e?43 (#l8?a?"?? )? .bax2a??,xackh1(? vpack(pef=0)e9??t? )vpnack(pef=1) e9j a??)?  !? e?43 no
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 592 2014.10.31 14.8.3 ? ?????? ????? ???? ? 1. ?? i 2 c ??????? pomxx ? n ? v dd ?? ? pomxx=1 ? ?4.3 ?????? ? ?4.5 ???????? ? ? iic00 ???????? / ? scl00 ?? n ? v dd ??? pomxx=1 ? ?4.4.4 ? / ?? 2.5v 3v ?? ? 2. ? ? 28 ??? ? m ? m=0 n ? n=0 mn=00 i 2 c iic00 ? sau0 ? 0 ?? scl00 sda00 ? 1 intiic00 ??? ???? ? ?? ovfmn ? 8 ? 2 max. f mck /4[hz] sdrmn[15:9] 1 f mck ??? ? i 2 c ??? ? max. 400khz ?? ? max. 100khz ??? ?? ???? ? ? ?? 1 ack ? ? msb
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 593 2014.10.31 (1) ?? ? 14-129 i 2 c iic00 ????? sior ? 1. ? scr00 ? scr01 ??? ?1? 2. ?????????? 3. ?????? ? 1. m ? m=0 n ? n=0 r iic ? r=00 mn=00 2. iic ????? ? ??? ????? ???????? 0/1 ?? ?0? ?1? (a) ??? mn smrmn ?? ??????? 1514131211109876543210 smrmn cksmn 0/1 ccsmn 0 0 0 0 0 0 stsmn 0 0 sismn0 0 1 0 0 mdmn2 1 mdmn1 0 mdmn0 0 (b) ??? mn scrmn ?? ????? txemn rxemn ? 1514131211109876543210 scrmn txemn 0 rxemn 1 dapmn 0 ckpmn 0 0 eocmn 0 ptcmn1 0 ptcmn0 0 dirmn 0 0 slcmn1 0 slcmn0 1 0 1 dlsmn1 1 ? 1 dlsmn0 1 (c) ?? mn sdrmn 8 sior 1514131211109876543210 sdrmn ?? ? 2 0 ??? ffh (d) ? m som ?? ??????? 1514131211109876543210 som 0 0 0 0 1 1 1 ckom0 0/1 ? 3 0 0 0 0 1 som2 0/1 ? 3 1 som0 0/1 ? 3 (e) ? m soem ?? ??????? 1514131211109876543210 soem 0 0 0 0 0 0 0 0 0 0 0 0 0 soem2 0/1 0 soem0 0/1 (f) ??? m ssm ?? ??????? 1514131211109876543210 ssm 0 0 0 0 0 0 0 0 0 0 0 0 ssm3 0/1 ssm2 0/1 ssm1 0/1 ssm0 0/1
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 594 2014.10.31 (2) ? 14-130 ???? (a) ?? (b) ? ? m ? m=0 n ? n=0 r iic ? r=00 mn=00 d7 d6 d5 d4 d3 d2 d1 d0 ssmn semn soemn sdrmn sclreg? sdareg? sdareg9 /?!?, ?h? <.3db(ffh) y
db d7 d6 d5 d4 d3 d2 d1 d0 d2 d1 d0 ssmn semn soemn sdrmn sclreg? sdareg? sdareg9 /?!?, db y
db a?> e?,xeg?? 0!6> e?,xeg?? nack ack txemn=0/rxemn=1 txemn? rxemn 0!65 ? a+8v,xy
iic0!6e> somn! ?0 ckomn! ?0 somn! ?0 /?!e> <.3db(ffh) /?!e> <.3db (ffh)
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 595 2014.10.31 ? 14-131 ??? ? ?? ack nack ?????? m stm stmn ?1? ? ?????? 4- stmn !m ?1? yes 4-soemn!m ?0? no yes no db?e?43 ? db,xy
*?e?43?? 4-sior(sdrmn[7:0]) m<.3db(ffh) a?sior(sdrmn[7:0]) 4-ssmn!m ?1? 4-txemn!m ?0? 4-rxemn!m ?1? dby
,x43 {*0!65? z m scrmn ?, <0!6e> ? e?f',xe> ??a?ny
? g????e> ?
|y
e> ? 1yy
43 (#l8?a?"?? )? a?y
dbe> ?)(,ram1)? z? adbe> ackh1( 5/u!6eg?? no yes y
? a,xdb?  !? e?43
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 596 2014.10.31 14.8.4 ??? ???????????? (1) ? 14-132 ???? ? ?????? m soem soemn ?0? ? 14-133 ??? 0!65 stmn semn soemn sclreg? sdareg? 0!6e> somn !?0 ckomn !?0 somn !?0 "? 0!65*?,x?? 1y iic e?,x43 e> 0!6(?? ( 6??0 ckomn ! ) eg?/u!6(?? ( 6??0 somn ! ) c?no0 i 2 c 4?,x scl ,x" + g?z??? z0 i 2 c 4?,x??.b1 yk? db e?43dby
43 4- stmn !m ?1? (semn=0) 4- soemn !m ?0? 4- somn !m ?0? 4- somn !m ?1? 4- ckomn !m ?1?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 597 2014.10.31 14.8.5 ?? i 2 c iic00 ?????? ? ? sdrmn[15:9] ?? ?0000000b? sdrmn[15:9] ??? ?0000001b? i 2 c scl ????? 50% i 2 c ? scl ?????????????? ? 400kbps scl ????? i 2 c ??? sdrmn[15:9] ? i 2 c ? ? 1. ? sdrmn[15:9] ???? sdrmn bit15 9 ? 0000001b 1111111b ? 1 127 2. m ? m=0 n ? n=0 mn=00 ? f mck ????? m spsm ???? mn smrmn bit15 cksmn ? = { ?? f mck ? } (sdrmn[15:9] + 1) 2
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 598 2014.10.31 14-6 i 2 c ??? ? ???? f clk ? ?????? ckc ????? sau ???? m stm =000fh ? ? 1. x 2. m ? m=0 n ? n=0 mn=00 f mck =f clk =24mhz ? i 2 c ??? ?? scl ?????? 50% ???? ?0?% ? smrmn ? spsm ? ? f mck ? cksmn prs m13 prs m12 prs m11 prs m10 prs m03 prs m02 prs m01 prs m00 f clk =24mhz ? 0 xxxx 0000 f clk 24mhz xxxx 0001 f clk /2 12mhz xxxx 0010 f clk /2 2 6mhz xxxx 0011 f clk /2 3 3mhz xxxx 0100 f clk /2 4 1.5mhz xxxx 0101 f clk /2 5 750khz xxxx 0110 f clk /2 6 375khz xxxx 0111 f clk /2 7 187.5khz xxxx 1000 f clk /2 8 93.75khz xxxx 1001 f clk /2 9 46.88khz xxxx 1010 f clk /2 10 23.44khz xxxx 1011 f clk /2 11 11.72khz 1 0000 xxxx f clk 24mhz 0001 xxxx f clk /2 12mhz 0010 xxxx f clk /2 2 6mhz 0011 xxxx f clk /2 3 3mhz 0100 xxxx f clk /2 4 1.5mhz 0101 xxxx f clk /2 5 750khz 0110 xxxx f clk /2 6 375khz 0111 xxxx f clk /2 7 187.5khz 1000 xxxx f clk /2 8 93.75khz 1001 xxxx f clk /2 9 46.88khz 1010 xxxx f clk /2 10 23.44khz 1011 xxxx f clk /2 11 11.72khz ?? i 2 c ?? ??? f clk =24mhz ? f mck sdrmn[15:9] ? ??? 100khz f clk /2 59 100khz 0.0% 400khz f clk 31 375khz 6.25% ?
R7F0C01592esn r7f0c01692esn 14 ? r01uh0453cj0210 rev.2.10 599 2014.10.31 14.8.6 ? i 2 c iic00????? ? i 2 c iic00 ????? ? 14-134 ? 14-135 ? ? 14-134 ??? ? 14-135 ? i 2 c ?? ack ??? ? m ? m=0 n ? n=0 r iic ? r=00 mn=00 ??? ? ?? mn sdrmn ssrmn ? bffmn ? ?0? ? n ???? ????? ?? ??? mn ssrmn ???? ? ?? mn sirmn ?1? ? ? ssrmn ????? sirmn ??? ??? ? ??? mn ssrmn ???? ? ??? mn sirmn ? ? ssrmn ????? sirmn ??? ???? m stm stmn ?1? ???? m sem semn ? ?0? ? n ??? ?? ?? ack ?? ????? ??????? ????? ???? ?? ?? ??? m ssm ssmn ?1? ???? m sem semn ? ?1? ? n ?? ?
R7F0C01592esn r7f0c01692esn 15 ?? elc r01uh0453cj0210 rev.2.10 600 2014.10.31 15 ?? elc 15.1 elc ? ?? elc ??? ??? ? cpu ??? elc 1? ? ? 18 ??????? ? ??? 6 1 ? 15.2 elc ?? elc ?? ? 15-1 ? ? 15-1 elc ?? yf?4? _{
(jy?)f?) _eg?,a?ey??, < elselrn (n=0018)  s6? (_y ??)  s6? (_eg??)
R7F0C01592esn r7f0c01692esn 15 ?? elc r01uh0453cj0210 rev.2.10 601 2014.10.31 15.3 elc ?? elc ?? 15-1 ? 15-1 elc ?? ? ???? 00 elselr00 ???? 01 elselr01 ???? 02 elselr02 ???? 03 elselr03 ???? 04 elselr04 ???? 05 elselr05 ???? 07 elselr07 ???? 08 elselr08 ???? 09 elselr09 ???? 10 elselr10 ???? 11 elselr11 ???? 12 elselr12 ???? 13 elselr13 ???? 14 elselr14 ???? 15 elselr15 ???? 16 elselr16 ???? 17 elselr17 ???? 18 elselr18
R7F0C01592esn r7f0c01692esn 15 ?? elc r01uh0453cj0210 rev.2.10 602 2014.10.31 15.3.1 ???? n elselrn n=00 05 07 18 elselrn ?????? ???? ?????? ????? ????????????????? ???????? elselrn ? elselrn ? n=00 18 ??? 15-2 ? elselrn ? n=00 18 ??????? 15-3 ? ? 15-2 ???? n elselrn ?? ? ? 15-3 elselrn ? n=00 18 ???????? ? ? f0300h elselr00 f0305h elselr05 00h r/w f0307h elselr07 f0312h elselr18 elselrn 00000 elseln2 elseln1 elseln0 elseln2 elseln1 elseln0 ??? 0 00 ??? 0 01 ??? ? 010 ??? ? 011 ??? ? 100 ??? ? 101 ??? ? 110 ??? ? ?? 76543210
R7F0C01592esn r7f0c01692esn 15 ?? elc r01uh0453cj0210 rev.2.10 603 2014.10.31 15-2 elselrn ? n=00 18 ??? ? ?? ? n ? ? elselr00 ??? 0 intp0 elselr01 ??? 1 intp1 elselr02 ??? 2 intp2 elselr03 ??? 3 intp3 elselr04 ??? 4 intp4 elselr05 ??? 5 intp5 elselr07 ? rd0 ?? a/ ?? a inttrd0 elselr08 ? rd0 ?? b/ ?? b inttrd0 elselr09 ? rd1 ?? a/ ?? a inttrd1 elselr10 ? rd1 ?? b/ ?? b inttrd1 elselr11 ? rd1 trd1 ? elselr12 ? rj0 inttrj0 elselr13 tau ? 00 ? / ? inttm00 elselr14 tau ? 01 ? / ? inttm01 elselr15 tau ? 02 ? / ? inttm02 elselr16 tau ? 03 ? / ? inttm03 else lr17 ? 0 int cmp0 elselr18 ? 1 intcmp1
R7F0C01592esn r7f0c01692esn 15 ?? elc r01uh0453cj0210 rev.2.10 604 2014.10.31 15-3 elselrn ? n=00 18 ???????? ? 1. ???? 0 ? 0 ????? ????? 0 tps0 ? 0 ??? f clk ??? 1 nfen1 ti00 ???? off tnfen00=0 ???? 0 tis0 ? 0 ?????? elc ? ? 2. ???? 0 ? 1 ????? ????? 0 tps0 ? 1 ??? f clk ??? 1 nfen1 ti01 ???? off tnfen01=0 ???? 0 tis0 ? 1 ?????? elc ? ? elselrn ? elsel2 elsel0 ? ?? 001b a/d ? ? a/d ? 010b ?? 0 ? 0 ?? ? 1 ????? 011b ?? 0 ? 1 ?? ? 2 ????? 100b ? rj0 ? 101b ? rd0 trdiod0 ????? 110b ? rd1 trdiod1 ?????
R7F0C01592esn r7f0c01692esn 15 ?? elc r01uh0453cj0210 rev.2.10 605 2014.10.31 15.4 ? ??????? elc ??? ??????????? ? elc ?? ? 15-3 ???????? ??? ? elc ??????? ? 15-3 elselrn ? n=00 18 ???????? ? ? 15-3 ? elc ?? ? ??? ??? 15-4 ? 15-4 ??? ? ? no. ??? ?? ? 1 a/d ? a/d ? elc ???? a/d ?? 2 ?? 0 ? 0 ?? ?? ? ?? ?? elc ? 3 4 f clk ???? 3 ?? 0 ? 1 ?? ?? ? ?? ?? elc ? 3 4 f clk ???? 4 ? rj ? elc ????? rj ?? 5 ? rd0 trdiod0 ?? ?? elc ? 2 3 ? rd ??? ??? ?? elc ? 2 3 ? rd ???????? 6 ? rd1 trdiod1 ?? ?? elc ? 2 3 ? rd ??? ??? ?? elc ? 2 3 ? rd ????????  s6?(_eg??)  s6? (_y ??) elc ?a?" (_ ?) ?a?{
"? ?{
+ c? cpu (???? "?
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 606 2014.10.31 16 ? ????????????? ????? ???? 16.1 ?? ? 2 ? (1) ????????? pr00l pr00h pr01l pr01h pr02l pr02h pr10l pr10h pr11l pr11h pr12l pr12h ????? ???????????????? ????? 16-1 ?? stop ?? halt ?? snooze ?? ???? (2) ?? brk ??????????? ?? 16.2 ??? ??? 5 ?? 16-1 ? ?????? 2 ??????? 00000h 0ffffh 64k ? 28 ? 6 ? 20
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 607 2014.10.31 16-1 ?? (1/2) ? 1. ????? 0 ?? 25 ?? 2. (a) (c) ??? 16-1 (a) (c) 3. ??? 000c0h bit7 wdtint ?1? 4. ???? lvis bit7 lvimd ?0? ? ? ? ? 1 ? ? / ? ? ? ? 2 0intwdti ??? ? 3 ? 75%+1/2f il ? 0004h (a) 1intlvi ? ? 4 0006h 2intp0 ?? ? 0008h (b) 3intp1 000ah 4intp2 000ch 5intp3 000eh 6intp4 0010h 7intp5 0012h 8intst0/ intcsi00/ intiic00 uart0 ???? / csi00 ??? / iic00 ?? ? 001eh (a) 9intsr0 uart0 ??? 0020h 10 intsre0 uart0 ??? 0022h inttm01h ?? 1 ??? 8 ?? 11 intst1 uart1 ???? 0024h 12 intsr1 uart1 ??? 0026h 13 intsre1 uart1 ??? 0028h inttm03h ?? 3 ??? 8 ?? 14 inttm00 ?? 0 ??? 002ch 15 inttm01 ?? 1 ??? 002eh 16 inttm02 ?? 2 ??? 0030h 17 inttm03 ?? 3 ??? 0032h 18 intad a/d ? 0034h 19 intit ??? 0038h
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 608 2014.10.31 16-1 ?? (2/2) ? 1. ????? 0 ?? 25 ?? 2. (a) (c) ??? 16-1 (a) (c) 3. ???? lvis bit7 lvimd ?1? 4. ?? ffh ? ????????? 5. ??? ? ? ? ? 1 ? ? / ? ? ? ? 2 20 inttrj0 ? rj ? 0040h (a) 21 intcmp0 ? 0 0052h 22 intcmp1 ? 1 0054h 23 inttrd0 ? rd0 ????? 0056h 24 inttrd1 ? rd1 ????? 0058h 25 intfl ? 5 0062h ?brk brk ?? ?007eh(c) ? reset reset ? ? 0000h ? por ?y lvd ? ? 3 wdt ?? trap ??? ? 4 iaw ??? rpe ram ?
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 609 2014.10.31 ? 16-1 ???? (a) ? (b) ? intpn (c) if ? ie ? isp0 ?? 0 isp1 ?? 1 mk ?? pr0 ??? 0 pr1 ??? 1 ? n=0 5 yf?4? ?a?" 4{ {
+ c? ?g><   *+ c? y?l8 ? yf?4? 4{ {
+ c? ?g><   *+ c? y?l8 ? e?"? ?#+ c? f??e?"? a??, < (egp?egn) intpn6?eg9 yf?4? ?a?" ?g><   *+ c?
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 610 2014.10.31 16.3 ???? ? 6 ???? ? ?? if0l if0h if1l if1h if2l if2h ? ??? mk0l mk0h mk1l mk1h mk2l mk2h ? ???? pr00l pr00h pr01l pr01h pr02l pr02h pr10l pr10h pr11l pr11h pr12l pr12h ? ?? egp0 ? ??? egn0 ? ?? psw ???????? 16-2 ?
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 611 2014.10.31 16-2 ????? ? 1. ?????????? intst0 intcsi00 intiic00 ? ? if0h ? bit5 ?1? mk0h pr00h pr10h ? bit5 ? 3 ? 2. ? uart0 ??? tau0 ? 1 8 ???????? ????? uart0 ?? eoc01=0 ???? uart0 tau0 ? 1 8 ?? intsre0 inttm01h ?? if0h ? bit7 ?1? mk0h pr00h pr10h ? bit7 ? 2 ? 3. ? uart1 ??? tau0 ? 3 8 ???????? ????? uart1 ?? eoc03=0 ???? uart1 tau0 ? 3 8 ?? intsre1 inttm03h ?? if1l ? bit2 ?1? mk1l pr01l pr1 1 l ? bit2 ? 2 ? ? ? ?? ??? ? ? ? intwdti wdtiif if0l wdtimk mk0l wdtipr0 wdtipr1 pr00l pr10l intlvi lviif lvimk lvipr0 lvipr1 intp0 pif0 pmk0 ppr00 ppr10 intp1 pif1 pmk1 ppr01 ppr11 intp2 pif2 pmk2 ppr02 ppr12 intp3 pif3 pmk3 ppr03 ppr13 intp4 pif4 pmk4 ppr04 ppr14 intp5 pif5 pmk5 ppr05 ppr15 intst0 ? 1 stif0 ? 1 if0h stmk0 ? 1 mk0h stpr00 stpr10 ? 1 pr00h pr10h intcsi00 ? 1 csiif00 ? 1 csimk00 ? 1 csipr000 csipr100 ? 1 intiic00 ? 1 iicif00 ? 1 iicmk00 ? 1 iicpr000 iicpr100 ? 1 intsr0 srif0 srmk0 srpr00 srpr10 intsre0 ? 2 sreif0 ? 2 sremk0 ? 2 srepr00 srepr10 ? 2 inttm01h ? 2 tmif01h ? 2 tmmk01h ? 2 tmpr001h tmpr101h ? 2 intst1 stif1 if1l stmk1 mk1l stpr01 stpr11 pr01l pr11l intsr1 srif1 srmk1 srpr01 srpr11 intsre1 ? 3 sreif1 ? 3 sremk1 ? 3 srepr01 srepr11 ? 3 inttm03h ? 3 tmif03h ? 3 tmmk03h ? 3 tmpr003h tmpr103h ? 3 inttm00 tmif00 tmmk00 tmpr000 tmpr100 inttm01 tmif01 tmmk01 tmpr001 tmpr101 inttm02 tmif02 tmmk02 tmpr002 tmpr102 inttm03 tmif03 tmmk03 tmpr003 tmpr103 intad adif if1h admk mk1h adpr0 adpr1 pr01h pr11h intit tmkaif tmkamk tmkapr0 tmkapr1 inttrj0 trjif0 trjmk0 trjpr00 trjpr10 incmp0 cmpif0 if2l cmpmk0 mk2l cmppr00 cmppr10 pr02l pr12l incmp1 cmpif1 if2h cmpmk1 mk2h cmppr01 cmppr11 pr02h pr12h inttrd0 trdif0 trdmk0 trdpr00 trdpr10 inttrd1 trdif1 trdmk1 trdpr01 trdpr11 intfl flif flmk flpr0 flpr1
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 612 2014.10.31 16.3.1 ?? if0l if0h if1l if1h if2l if2h ?????? ?1? ???? ???? ?0? ?????? ? 1 8 ??? if0l if0h if1l if1h if2l if2h ? if0l ? if0h ? if1l ? if1h ?? if2l ? if2h ?? if0 if1 if2 16 ??? 16 ??? ?????? ?00h? ? ???????? 2 ?? ? 16-2 ?? if0l if0h if1l if1h if2l if2h ?? (1/2) ? fffe0h 00h r/w if0l pif5 pif4 pif3 pif2 pif1 pif0 lviif wdtiif ? fffe1h 00h r/w if0h sreif0 tmif01h srif0 stif0 csiif00 iicif00 00000 ? fffe2h 00h r/w if1l tmif03 tmif02 tmif01 tmif00 0 sreif1 tmif03h srif1 stif1 ? fffe3h 00h r/w if1h 0 trjif0 0 0 0 tmkaif 0 adif 7 6 5 4 3 2 1 0 7 6 5 43210 7 6 5 4 32 1 0 76 543 2 10
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 613 2014.10.31 ? 16-2 ?? if0l if0h if1l if1h if2l if2h ?? (2/2) ? 1. ???? 2. ????????? clr1 ? c ?? ????? clr1 ?? ?if0l.0=0;? ?_asm("clr1 if0l, 0");? ? c ? ?if0l &= 0xfe;? 8 ?????? 3 ?? mov a, if0l and a, #0feh mov if0l, a ?? ?mov a, if0l? ?mov if0l, a? ????? if0l ? ?1? ???? ?mov if0l, a? ?0? ? c ? 8 ????? ? fffd0h 00h r/w i f 2 lc m p i f 00000000 ? fffd1h 00h r/w i f 2 hf l i f0000t r d i f 1t r d i f 0c m p i f 1 xxifx ? 0 ?? 1 ???? 7 6543210 7 65432 1 0
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 614 2014.10.31 16.3.2 ??? mk0l mk0h mk1l mk1h mk2l mk2h ????????? ? 1 8 ??? mk0l mk0h mk1l mk1h mk2l mk2h ? mk0l ? mk0h ? mk1l ? mk1h ?? mk2l ? mk2h ?? mk0 mk1 mk2 16 ??? 16 ??? ?????? ?ffh? ? ???????? 2 ?? ? 16-3 ??? mk0l mk0h mk1l mk1h mk2l mk2h ?? (1/2) ? fffe4h ffh r/w mk0l pmk5 pmk4 pmk3 pmk2 pmk1 pmk0 lvimk wdtimk ? fffe5h ffh r/w mk0h sremk0 tmmk01h srmk0 stmk0 csimk00 iicmk00 11111 ? fffe6h ffh r/w mk1l tmmk03 tmmk02 tmmk01 tmmk00 1 sremk1 tmmk03h srmk1 stmk1 ? fffe7h ffh r/w mk1h 1 trjmk0 1 1 1 tmkamk 1 admk 7 6 5 4 3 2 1 0 7 6 5 43210 7 6 5 4 32 1 0 76 543 2 10
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 615 2014.10.31 ? 16-3 ??? mk0l mk0h mk1l mk1h mk2l mk2h ?? (2/2) ? ???? ? fffd4h ffh r/w m k 2 lc m p m k 01111111 ? fffd5h ffh r/w m k 2 hf l m k1111t r d m k 1t r d m k 0c m p m k 1 xxmkx ?? 0 ?? 1 ??? 7 6543210 7 65432 1 0
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 616 2014.10.31 16.3.3 ???? pr00l pr00h pr01l pr01h pr02l pr02h pr10l pr10h pr11l pr11h pr12l pr12h ???????? ? pr0xy ? pr1xy ??? xy=0l 0h 1l 1h 2l 2h ? 1 8 ??? pr00l pr00h pr01l pr01h pr02l pr02h pr10l pr10h pr11l pr11h pr12l pr12h ? pr00l ? pr00h ? pr01l ? pr01h ? pr02l ? pr02h ? pr10l ? pr10h ? pr11l ? pr11h ?? pr12l ? pr12h ?? pr00 pr01 pr02 pr10 pr11 pr12 16 ??? 16 ? ?? ?????? ?ffh? ? ???????? 2 ?? ? 16-4 ???? pr00l pr00h pr01l pr01h pr02l pr02h pr10l pr10h pr11l pr11h pr12l pr12h ?? (1/2) ? fffe8h ffh r/w pr00l ppr05 ppr04 ppr03 ppr02 ppr01 ppr00 lvipr0 wdtipr0 ? fffech ffh r/w pr10l ppr15 ppr14 ppr13 ppr12 ppr11 ppr10 lvipr1 wdtipr1 ? fffe9h ffh r/w pr00h srepr00 tmpr001h srpr00 stpr00 csipr000 iicpr000 11111 ? fffedh ffh r/w pr10h srepr10 tmpr101h srpr10 stpr10 csipr100 iicpr100 11111 ? fffeah ffh r/w pr01l tmpr003 tmpr002 tmpr001 tmpr000 1 srepr01 tmpr003h srpr01 stpr01 7 6 5 4 3 2 1 0 7 6 5 4 3 2 1 0 7 6 5 43210 7 6 5 43210 7 6 5 4 32 1 0
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 617 2014.10.31 ? 16-4 ???? pr00l pr00h pr01l pr01h pr02l pr02h pr10l pr10h pr11l pr11h pr12l pr12h ?? (2/2) ? ???? ? fffeeh ffh r/w pr11l tmpr103 tmpr102 tmpr101 tmpr100 1 srepr11 tmpr103h srpr11 stpr11 ? fffebh ffh r/w pr01h 1 trjpr00 1 1 1 tmkapr0 1 adpr0 ? fffefh ffh r/w pr11h 1 trjpr10 1 1 1 tmkapr1 1 adpr1 ? fffd8h ffh r/w p r 0 2 lc m p p r 0 01111111 ? fffdch ffh r/w p r 1 2 lc m p p r 1 01111111 ? fffd9h ffh r/w p r 0 2 hf l p r 01111t r d p r 0 1t r d p r 0 0c m p p r 0 1 ? fffddh ffh r/w p r 1 2 hf l p r 11111t r d p r 1 1t r d p r 1 0c m p p r 1 1 xxpr1x xxpr0x ?? 00 ?? 0 ? 01 ?? 1 10 ?? 2 11 ?? 3 ? 7 6 5 4 32 1 0 76 543 2 10 76 543 2 10 7 6543210 7 6543210 7 65432 1 0 7 65432 1 0
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 618 2014.10.31 16.3.4 ?? egp0 ??? egn0 ?? intp0 intp5 ? ? 1 8 ??? egp0 ? egn0 ? ?????? ?00h? ? 16-5 ?? egp0 ??? egn0 ?? ? egpn egnn ?? 16-3 ? 16-3 ? egpn egnn ?? ? ?????????? intpn ? ??????? egpn egnn=0 0 ???? pmxx ?0? ? 1. ????? ?2.1 ?? ? 2. n=0 5 ? fff38h 00h r/w 76543210 egp0 0 0 egp5 egp4 egp3 egp2 egp1 egp0 ? fff39h 00h r/w 76543210 egn0 0 0 egn5 egn4 egn3 egn2 egn1 egn0 egpn egnn intpn ?? n=0 5 00 ?? 01 ? 10 11 ?? ?? ? egp0 egn0 p137 intp0 egp1 egn1 p50 intp1 egp2 egn2 p51 intp2 egp3 egn3 p30 intp3 egp4 egn4 p31 intp4 egp5 egn5 p16 intp5
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 619 2014.10.31 16.3.5 ?? psw ???????????????? ie ???? isp0 ? isp1 ? 8 ?? psw ????? ei di psw ? ? brk ??? psw ??? ie ??? ?0? ??? ??????? ?00? ? 1 ?? isp0 ? isp1 ? psw ?? push psw ???? reti retb pop psw ?? ??? psw ?? ?06h? ? 16-6 ????? ! a 06h *be? ,x??;> ? !7 ?),x?4{ a?4{0,x?? !7 ?)4{14{0,x? a?4{01,x?? !7 ?)4{2,x? a?4{02,x?? !7 ?)4{3,x? a? R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 620 2014.10.31 16.4 ?? 16.4.1 ? ? ?1? ? mk ?? ?0? ??? ???? ie ?? ?1? ???????? ???? ????? 16-4 ? ??? ? 16-8 ? 16-9 16-4 ???? ? ? ram ???? ? 1 ??1/f clk f clk cpu ?? ?????????????? ??????????? ??????? ?? ? 16-7 ? ??????? psw pc ??? ie ?? ?0? ??????? isp1 ? isp0 ? ? pc ??? ? reti ??? ? ?? ? ? 9 ? 16 ?
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 621 2014.10.31 ? 16-7 ?? xx if ? xx mk ?? xx pr0 ??? 0 xx pr1 ??? 1 ie ???? 1= ? 0= ? isp0 isp1 ????? ? 16-6 ? ?? ? 16-1 ?? ? ?? ({*?a?" ) ("4{) (p?4{) +-?a?" +-?a?" +-?a?" +-?a?" +-?a?" ?g??) 4{p?b {*,xja ?a?"" t?ax4{ "? p?b {*,x ja?a?"" (xxpr1?xxpr0) 0(isp1?isp0)
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 622 2014.10.31 ? 16-8 ?? ?? ? 1 ??1/f clk f clk cpu ?? ? 16-9 ?? ??? ? 1 ??1/f clk f clk cpu ?? xxif 9tjs ?? ?? cpu ?) 6tjs ,psw
`pc c?/???)/?c? ??)/?c ?? xxif 16tjs ?? ?? ?!,x?? cpu?) 6tjs 8tjs ,psw
`pc c?/???)/?c? ??)/?c
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 623 2014.10.31 16.4.2 ? ?? brk ?????? ?????? psw pc ??? ie ? ? ?0? ? 0007eh 0007fh ? pc ?? ? retb ??? ? ? reti ??? 16.4.3 ? ???? ??? ie=1 ???????? ??? ie=0 ????? ei ?? ie ? ?1? ?? ???????????? ???????? ??????? ??????????? ?? 0 ? ie ? ?1? ? 0 ? ?????????? ???? ??????? 16-5 ? 16-10 ?
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 624 2014.10.31 16-5 ????? ? 1. ?? 2. ?? 3. isp0 isp1 ie psw ?? isp1=0 isp0=0 ?? 1 ? 0 ? isp1=0 isp0=1 ?? 2 ? isp1=1 isp0=0 ?? 3 ? isp1=1 isp0=1 ? ?? ie=0 ? ie=1 4. pr pr00l pr00h pr01l pr01h pr02l pr02h pr10l pr10h pr11l pr11h pr12l pr12h ??? pr=00 ? xxpr1x=0 xxpr0x=0 ?? 0 ? pr=01 ? xxpr1x=0 xxpr0x=1 ?? 1 pr=10 ? xxpr1x=1 xxpr0x=0 ?? 2 pr=11 ? xxpr1x=1 xxpr0x=1 ?? 3 ? ? ? 0 pr=00 ? 1 pr=01 ? 2 pr=10 ? 3 pr=1 1 ie= 1 ie=0 ie=1 ie=0 ie=1 ie=0 ie=1 ie=0 isp1=0 isp0=0 isp1=0 isp0=1 isp1=1 isp0=0 isp1=1 isp0=1
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 625 2014.10.31 ? 16-10 ? (1/2) 1. 2 ?? ? intxx ? intyy intzz 2 ????? ?? ei ?????? 2. ?? ? intxx ? intyy ? intxx ?? ? intyy ???? intyy pr=00 ? xxpr1x=0 xxpr0x=0 ?? 0 ? pr=01 ? xxpr1x=0 xxpr0x=1 ?? 1 pr=10 ? xxpr1x=1 xxpr0x=0 ?? 2 pr=11 ? xxpr1x=1 xxpr0x=1 ?? 3 ? ie=0 ? ie=1 ?) intxx ?) intyy ?) intzz ?) ei ei ei reti reti reti intxx (pr = 11) intyy (pr = 10) intzz (pr = 01) ie = 0 ie = 0 ie = 0 ie = 1 ie = 1 ie = 1 ?) intxx ?) intyy ?) intxx (pr = 10) intyy (pr = 11) ei reti ie = 0 ie = 0 ei ;> 1 5?? reti ie = 1 ie = 1
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 626 2014.10.31 ? 16-10 ? (2/2) 3. ?? ? intxx ?? ei ?? intyy ? ? intyy ???? intyy pr=00 ? xxpr1x=0 xxpr0x=0 ?? 0 ? pr=01 ? xxpr1x=0 xxpr0x=1 ?? 1 pr=10 ? xxpr1x=1 xxpr0x=0 ?? 2 pr=11 ? xxpr1x=1 xxpr0x=1 ?? 3 ? ie=0 ? ie=1 ?) intxx ?) intyy ?) ei ;> 15?? reti reti intxx (pr = 11) intyy (pr = 00) ie = 0 ie = 0 ie = 1 ie = 1
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 627 2014.10.31 16.4.4 ????? ????? R7F0C015 r7f0c016 ? divhu/divwu ?? ?? ? ? divhu/divwu ????? divhu/divwu ?? ? ??? pc ?? divhu/divwu ?? ? ???? ? ?? divhu/divwu ?? pc?3 ?? divhu/divwu ? ax bc de hl ???? ax bc de hl ? ??? ? divhu/divwu ???? (sp?1) psw (sp?1) psw (sp?2) (pc) s (sp?2) (pc?3) s (sp?3) (pc) h (sp?3) (pc?3) h (sp?4) (pc) l (sp?4) (pc?3) l pc s 0000 pc s 0000 pc h (vector) pc h (vector) pc l (vector) pc l (vector) sp sp?4 sp sp?4 ie 0i e 0 movw ax, #8081h movw bc, #8080h movw de, #0002h movw hl, #0000h divwu movw !addr16, ax movw ax, bc movw !addr16, ax movw ax, de movw !addr16, ax movw ax, hl movw !addr16, ax push ax push bc push de push hl divwu pop hl pop de pop bc pop ax reti ?1 push ax push bc push de push hl divwu pop hl pop de pop bc pop ax reti ?2
R7F0C01592esn r7f0c01692esn 16 ? r01uh0453cj0210 rev.2.10 628 2014.10.31 16.4.5 ? ????????????? ?? ??? ? mov psw, #byte ?mov psw, a ? mov1 psw. bit, cy ? set1 psw. bit ? clr1 psw. bit ? retb ? reti ?pop psw ? btclr psw. bit, $addr20 ?ei ?di ?skc ?sknc ?skz ?sknz ?skh ?sknh ?if0l if0h if1l if1h if2l if2h mk0l mk0h mk1l mk1h mk2l mk2h pr00l pr00h pr01l pr01h pr02l pr02h pr10l pr10h pr11l pr11h pr12l pr12h ? ?? ?? ? 16-11 ? ? 16-11 ? ? 1. ? n ?? 2. ? m?? ?? n ?? m ,psw
`pc c?/???)/?c? ??)/?c cpu ?) xxif
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 629 2014.10.31 17 17.1 ??? 17.1.1 ?????? 3 ?? (1) halt ?? ?? halt ? halt ?? halt ???? cpu ????? halt ??? ?????????????????? stop ???????????????? ? (2) stop ?? ?? stop ? stop ?? stop ????????????? ????????? cpu ? ? stop ??????? x1 ???? stop ????????????????? halt ? ? (3) snooze ?? ? csip uartq ????? ? intit elc ?? a/d ??? stop ??? cpu csip uartq ?? a/d ? ???? cpu/ ?? f clk ?? snooze ?? ?????????????? / ???? ? 1. ? cpu ????? stop ?? 2. ?? stop ??????????? stop ? snooze ????? 3. snooze ??? csip uartq a/d ???? stop ?????? m sscm a/d ???? 2 adm2 ? ?12.3 a/d ??? ? ?14.3 ???? ? 4. ?? a/d ??? a/d ???? 0 adm0 bit7 adcs bit0 adce ?0? ?? a/d ?? stop ? 5. ???? halt ?? stop ????????? ? 23 ?? ? ? p=00 q=0 m=0
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 630 2014.10.31 17.2 ???? ?? stop ??????? 2 ? ? ????? ostc ? ???? osts ? ??????? ? 5 ?? ? ? snooze ????? ? 12 a/d ? ? ? 14 ? ?
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 631 2014.10.31 17.2.1 ????? ostc ?? x1 ???????? ? x1 ????? ? cpu ??????? x1 ??? ? cpu ????? x1 ??????? stop ?? stop ??? ? 1 8 ?? ostc ? ????? reset ? por lvd wdt ????? stop ? mstop ????? csc bit7 ? ?1? ???? ?00h? ? 17-1 ????? ostc ?? ? 1. ???? most8 ?? ?1? ? ?1? ?? 2. ??????? osts ??? cpu ?? ???? stop ???? stop ???? osts ? ??? ? ? ostc ??? osts ???? ??? stop ?? ostc ??? osts ?????? 3. x1 ?????????? ? a ? f x x1 ?? ? fffa2h 00h r 76543210 ostc most8 most9 most10 most11 most13 most15 most17 most18 most 8 most 9 most 10 most 11 most 13 most 15 most 17 most 18 ???? f x =10mhz f x =20mhz 00000000 2 8 /f x 25.6 s 12.8 s 10000000 2 8 /f x 25.6 s 12.8 s 11000000 2 9 /f x 51.2 s 25.6 s 11100000 2 10 /f x 102 s 51.2 s 11110000 2 11 /f x 204 s 102 s 11111000 2 13 /f x 819 s 409 s 11111100 2 15 /f x 3.27ms 1.63ms 11111110 2 17 /f x 13.1ms 6.55ms 11111111 2 18 /f x 26.2ms 13.1ms stop??,x?l8 x16?,x + _"?6 a
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 632 2014.10.31 17.2.2 ???? osts ?? stop ??? x1 ?????? ? x1 ?? cpu ??? stop ??? osts ???? ???? cpu ???? stop ??????? ? ostc ???????? ostc ?? osts ???? ? 8 ??? osts ? ????????? ?07h? ? 17-2 ???? osts ?? ? 1. ? cpu ?? x1 ???? stop ???? stop ??? osts ? 2. ? osts ?????? ostc ??? 3. x1 ???? osts ? 4. ??? osts ???? cpu ????? ? stop ???? stop ???? osts ???? ? ? ostc ??? osts ???? ??? stop ?? ostc ??? osts ?????? 5. x1 ?????????? ? a ? f x x1 ?? ? fffa3h 07h r/w 76543210 osts 0 0 0 0 0 osts2 osts1 osts0 osts2 osts1 osts0 ??? f x =10mhz f x =20mhz 000 2 8 /f x 25.6 s 12.8 s 001 2 9 /f x 51.2 s 25.6 s 010 2 10 /f x 102 s 51.2 s 011 2 11 /f x 204 s1 0 2 s 100 2 13 /f x 819 s4 0 9 s 101 2 15 /f x 3.27ms 1.63ms 110 2 17 /f x 13.1ms 6.55ms 111 2 18 /f x 26.2ms 13.1ms stop??,x?l8 x16?,x + _"?6 a
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 633 2014.10.31 17.3 ? 17.3.1 halt ?? (1) halt ?? ?? halt ??? halt ???? cpu ????????? ??? halt ????? ? ??? ?0? ???? ?1? ????? halt ?????? halt ????? halt ??
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 634 2014.10.31 17-1 halt ???? ? ???? halt ?????? ??? halt ????? f ih ?? f il ?? f x x1 ? f ex ???? halt ??? ? cpu ????? halt ? cpu ??? f ih cpu x1 ? f x cpu ???? f ex ??? ?? cpu ??? ??? f ih ?? ? f x ? ?? f ex ?? f il ??? 000c0h bit0 wdstbyon bit4 wdton ???? ?? osmc wutmmck0 ? wutmmck0=1 wutmmck0=0 wdton=0 ?? wutmmck0=0 wdton=1 wdstbyon=1 wutmmck0=0 wdton=1 wdstbyon=0 ?? cpu ?? ram ?? ? ?? halt ????? ?? 12 ? ?? ? 11 ?? ? ? rj ? rd ? / a/d ? ? ?? ? sau ?? elc ????? ?y ?? ? crc crc ? crc ?? ??? ?? ram ? ram sfr
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 635 2014.10.31 (2) halt ??? ? 2 ? halt ?? (a) ????? ????? halt ??????? ??????????? ? 17-3 ? halt ?? ? 1. ? ? 16-1 ???? ? 2. halt ???? ? ? 15 16 ? ? ? 9 10 ? ? ?????? halt?? e? e> halt?? e? e> p?e2?43js? p?eyf?95 <js cpu,x(?? y?l8 ? "?1 ?a?" 1y "?2 95
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 636 2014.10.31 (b) ???? ?? halt ???????????? ? 17-4 ? halt ?? (1) cpu ?????? (2) cpu ????? ? ??? ? 18 ? ?y por ?? lvd ? ?? ? 19 ?y? ? halt?? ! ? p?e2?43js (x195) halt?? ! k 95 0!6 95 95 cpu,x(?? e? e> (p?e2?43js ) 950nk (e?e?ostc?, <.bax) e? e> (p?eyf?95 <js) 0!6 95 e?e?eca?n??x195? "? halt?? ! ? p?eyf?95 <js e? e> (p?eyf?95 <js) halt?? ! k e? e> (p?eyf?95 <js ) 95 0!6 95 95 cpu,x(?? 1y952?z0n? "?
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 637 2014.10.31 17.3.2 stop ?? (1) stop ????? ?? stop ??? stop ????? cpu ??????2?? ? 1. ??? ?0? ???? ?1? ????? stop ????? stop ??? stop ?? ? stop ??? stop ???????? 2. snooze ??? csip uartq a/d ???? stop ?????? m sscm a/d ???? 2 adm2 ? ?12.3 a/d ??? ? ?14.3 ???? ? ? p=00 q=0 m=0 stop ?????
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 638 2014.10.31 17-2 stop ???? ? 1. ? stop ????????? stop ?????????? ? 2. ? stop ????????????? ? halt/stop ??? ??? ? 000c0h bit0 wdstbyon =0 stop ??? ? cpu ????? stop ? cpu ??? f ih cpu x1 ? f x cpu ???? f ex ??? ?? cpu ??? ??? f ih ?? f x f ex f il ??? 000c0h bit0 wdstbyon bit4 wdton ???? ?? osmc wutmmck0 ? wutmmck0=1 wutmmck0=0 wdton=0 ?? wutmmck0=0 wdton=1 wdstbyon=1 wutmmck0=0 wdton=1 wdstbyon=0 ?? cpu ?? ram ?? ? ?? stop ????? ?? ? 12 ? ?? ? 11 ?? ? ? rj ????? ? rd ? ? / ? a/d ? ? ?? snooze ?? ? ???? ?? ? sau ? csip uartq ? ?? snooze ?? csip uartq ?? ?? elc ????? ?y ?? ? crc crc ?? ? crc ??? ram ? ram sfr
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 639 2014.10.31 ? 3. cpu ???? x1 ??? stop ?????? stop ? ?? cpu ??????? stop ?? cpu ????? ???? x1 ????????? ostc ??? ? 1. ???? stop ?????? ??? stop ????? f ih ?? f il ?? f x x1 ? f ex ???? 2. p=00 q=0 (2) stop ??? ? 2 ? stop ?? (a) ????? ????? stop ???????? ????????????? ? 17-5 ? stop ?? (1/2) (1) cpu ????? ? 1. ????? ? ? 16-1 ???? ? 2. stop ??? ????? ? frqsel4=0 18 s 65 s ? frqsel4=1 18 s 135 s ? ? ? 7 ? ? ? 1 ? ? 1. ?????? stop ???? 2. ?????? y?l8 ? "?1 cpu,x(?? p?eyf?95 <js e? e> (p?eyf?95 <js ) 95 stop ?? 0!695 1y952?z0n? ?a?" stop?? e? e> (p?eyf?95 <js ) 95 1y 0!6ojs stop???l8k "?2
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 640 2014.10.31 ? 17-5 ? stop ?? (2/2) (2) cpu ????? x1 ?? ? 1. ????? ? ? 16-1 ???? ? 2. stop ??? ????? ? frqsel4=0 18 s ?65 s ?? ? osts ??? ? ? frqsel4=1 18 s ?135 s ?? ? osts ??? ? ? ? ? 10 11 ? ? ? 4 5 ? (3) cpu ????? ??? ? 1. ????? ? ? 16-1 ???? ? 2. stop ??? ????? ? frqsel4=0 18 s 65 s ? frqsel4=1 18 s 135 s ? ? ? 7 ? ? ? 1 ? ? cpu ???? x1 ??? stop ?????? stop ? ?? cpu ????? ? 1. ?????? stop ???? 2. ?????? ?a?" stop?? y?l8 ? "? 1 cpu,x(?? p?e2?43js (x1 95 ) 95 e? e> (p?e2?43js) stop ?? 0!695 95 e? e> (p?e2?43js ) 1y 0!6ojs stop???l8k "?2 e? e> ( p?e2?43js ) e? e> (p?e2?43js ) 95 95 stop?? stop ?? y?l8 ? "? 1 0!695 p?e2?43js (f?jseg9) cpu,x(?? 0!6ojs ?a?" 1y stop???l8k "? 2
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 641 2014.10.31 (b) ???? ?? stop ???????????? ? 17-6 ? stop ?? (1) cpu ?????? (2) cpu ????? ? ??? ? 18 ? ?y por ?? lvd ? ?? ? 19 ?y? ? stop?? ! ? p?e2?43js (x195) e? e> ( p?e2?43js ) stop ?? !k e? e> (p?eyf?95 <js) 95 0!695 95 cpu,x(?? 950nk (e?e?ostc ?, <.bax) 0!695 e?e?eca?n??x195? 0!695 "? stop?? ! ? p?eyf?95 <js e? e> (p?eyf?95 <js) stop ?? !k e? e> (p?eyf?95 <js ) 95 0!695 cpu,x(?? 95 0!695 1y952?z0n? "?
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 642 2014.10.31 17.3.3 snooze ?? (1) snooze ????? ?? csip uartq a/d ?? snooze ????? cpu ??? ??2?? ? snooze ??? csip uartq ????? stop ????? m sscm swcm ?1? ? ? 14.3 ???? ? ? snooze ??? a/d ?????? stop ??? a/d ???? 2 adm2 awc ?1? ? ? 12.3 a/d ??? ? ? p=00 q=0 m=0 ? snooze ??????????? stop ?? snooze ????? ? frqsel4=0 18 s 65 s ? frqsel4=1 18 s 135 s ? stop ?? snooze ????? stop ???? snooze ?? ???? ? ? hs ?? ?4.99 9.44 s?+7 ? ls ?? ?1.10 5.08 s?+7 ? ? ? hs ?? ?4.99 9.44 s?+1 ? ls ?? ?1.10 5.08 s?+1 ? snooze ?????
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 643 2014.10.31 17-3 snooze ???? ? 1. ???? stop ?????? ??? stop ????? f ih ?? f il ?? f x x1 ? f ex ???? 2. p=00 q=0 stop ??? ? stop ?? csip uartq ??? a/d ????? cpu ??? f ih ??? ?? cpu ??? ??? f ih ? f x ?? f ex f il ??? 000c0h bit0 wdstbyon bit4 wdton ???? ?? osmc wutmmck0 ? wutmmck0=1 wutmmck0=0 wdton=0 ?? wutmmck0=0 wdton=1 wdstbyon=1 wutmmck0=0 wdton=1 wdstbyon=0 ?? cpu ?? ram ?? ? stop ???? ?? ? 12 ? ?? ? 11 ?? ? ? rj ? ? rd ? / ? a/d ? ? ???? ?? ? sau ? csip uartq csip uartq ?? ?? elc ????? ?y ?? ? crc crc ?? ? crc ??? ram ? ram sfr
R7F0C01592esn r7f0c01692esn 17 r01uh0453cj0210 rev.2.10 644 2014.10.31 (2) snooze ??????? ? 17-7 snooze ??? ? 1. ????? ? ? 16-1 ???? ? 2. stop ?? snooze ???? 3. snooze ?? ??? 4. ???? stop ????? snooze ?? awc=1/swc=1 5. ????? snooze ?? awc=0/swc=0 (3) snooze ??????? ? 17-8 snooze ??? ? 1. ????? ? ? 16-1 ???? ? 2. stop ?? snooze ???? 3. ???? stop ????? snooze ?? awc=1/swc=1 ? snooze ????? ? 12 a/d ? ? ? 14 ? ? h l stop ?? "?2 snooze ?? (a/d e@6? uart/csi) "?3 cpu ,x(?? stop ?? y?l8 ? "?1 p?eyf?95 < js 95 0!695 1y952?z0n? ?a?" 95 e? e> "?5 ( p?eyf?95 <js ) e? e> "?4 ( p?eyf? 95 <js ) ?o ?# snooze ?? stop ?? (snooze ??,x?o 1y ) l e? e> "?3 stop ?? "?2 (a/d e@6? uart/csi) cpu ,x(?? stop ?? y?l8 ? "?1 p?eyf?95 < js 95 0!695 0!695 1y952?z0n? 95 ( p?eyf? 95 <js ) ?o ?#
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 645 2014.10.31 18 7 ??? (1) ? reset ? (2) ??????? (3) ??y por ????????? (4) ?? lvd ????????? (5) ???? ? (6) ram ?? (7) ??? ?????????? 0000h 0001h ???? reset ?????????? por lvd ? ???? ? ? ram ?????????? 18-1 ??? reset ???? reset ????? ?????????????? ram ??????????? ??????? ? 18-2 ? 18-4 ? por lvd ?? ? v dd v por v dd v lvd ????? ???? ? 19 ?y? ? ? 20 ? ? ? ?? ffh ??? ????????? ? 1. ???? reset 10 s ??? ????????? 10 s ????? ?? 000c2h ?? hs ?? v dd =2.7 5.5v@1mhz 24mhz ls ?? v dd =2.7 5.5v@1mhz 8mhz 2. ??????? x1 ???????????????? 3. ?? sfr 2nd sfr ??????? ?p40 ? por ???????? ? h ?p40 ???????? ? v por por ??? v lvd lvd ?
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 646 2014.10.31 ? 18-1 ??? ? lvd ? lvd ? ? 1. lvim ?? 2. lvis ??? yf?4? !{
???, < (resf) 5b! 5b! 5b! 5b! 5b! #l8 #l8 #l8 #l8 #l8 lvim/lvis?, <,x! ? ! ? + _?#+ c?,x! ? t+ !+ c?,x! ? resf?, <,xa? ? ;> m2"???{*,x! ? ramj?p`ja?{*,x! ? , am2"?,| <{*,x! ? ,?k?(?n <,x! ? rperf iawrf wdtrf reset trap lvirf
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 647 2014.10.31 18.1 ? reset ???? reset ????? ?????? ? 18-2 reset ?? ????? ram ?????? ??????????? ? 18-3 ????? ram ?? ?????? e3 hi-z e? e> cpu,x(?? e? e> (p?eyf?95 <js ) reset yf?! ? 0? 6? p?e2?43js (ey?x195,x??) p?eyf?95 <js e?e?eca?n??x195? ?l8f?!,x!?)k "? 1y952?z0n? !k e? e> !k (950!6) cpu,x(?? m2"???,x;>  ,?k?(?n <,x$v? yf?! ? hi-z p?e2?43js (ey?x195,x??) p?eyf?95 <js e?e?eca?n??x195? e? e> (p?eyf?95 <js) 1y952?z0n? 0? 6? !?)k?0.0511ms(typ.)0.0701ms(max.)
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 648 2014.10.31 ? 18-4 stop ?? reset ?? ? 1. ????? por ? 1 0.672ms(typ.) 0.832ms(max.) ? lvd 0.399ms(typ.) 0.519ms(max.) ? lvd por ? 2 ? 0.531ms(typ.) 0.675ms(max.) ? lvd 0.259ms(typ.) 0.362ms(max.) ? lvd ??????????????? 0.99ms(typ.) 2.30ms(max.) 2. ? p40 ??? ? ? por ?? ? ?????? ?h ? ?y????? ? 19 ?y? ? ? 20 ? ? e3 e? e> cpu,x(?? !k reset yf?! ? ;> stop??? 0!6(?? (0!695) p?e2?43js (ey?x195,x??) p?eyf?95 <js hi-z e?e?eca?n??x195? e? e> (p?eyf?95 <js) 1y952?z0n? ?l8f?!,x!?)k "?1 0? 6? "?2
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 649 2014.10.31 18-1 ??? ? f ih ?? f x x1 ? f ex ???? f il ?? ? ? ??? ?? cpu ??? ??? f ih ?? f x ?? x1 ? x2 ???? f ex ? ???? f il ?? cpu ?? ram ?? ? p40 ? por ?? ? por ?? p40 ?? ?? ? rj ? rd 12 ? ?? ? / a/d ? ? ?? ? sau ?? elc pwm ??? ?y ?? ?? lvd ???? ? ?? crc crc ? crc ??? ram ? ram sfr
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 650 2014.10.31 18-2 ????? (1/4) ? 1. ???????????? pc ????? ?? 2. ??? ? ??? sfr special function register ?? ?3.1.4 ??? sfr special function register ? ?3.1.5 ???? 2nd sfr 2nd special function register ? ? ??? ? 1 pc ? 0000h 0001h ? ?? sp ?? psw 06h ???? l macrl 0000h ???? h macrh 0000h ram ? ?? ???? pmc 00h ??? p0 p6 00h ??? p12 p13 ???? pm0 pm6 pm12 ffh ????? 0 12 pmc0 pmc12 ffh ???? 0 1 3 5 pim0 pim1 pim3 pim5 00h ???? 0 1 3 5 pom0 pom1 pom3 pom5 00h ?? pu0 pu1 pu3 pu5 pu12 00h pu4 ? ?01h? i/o ?? 1 pior1 00h ????? pms 00h ????? cmc 00h ????? csc c0h ?????? ckc 00h ????? ostc 00h ???? osts 07h ?? 0 1 nfen0 nfen1 00h ? 0 1 per0 per1 00h ???? hocodiv ??? hiotrm ? 2 ????? osmc 00h ?? ??? 00 03 tdr00 tdr03 0000h ???? 00 03 tmr00 tmr03 0000h ???? 00 03 tsr0 0 tsr0 3 0000h ??? 0 tis0 00h ?? 00 03 tcr00 tcr03 ffffh ????? 0 te0 0000h ???? 0 ts0 0000h ????? 0 tt0 0000h ???? 0 tps0 0000h
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 651 2014.10.31 18-2 ????? (2/4) ? 1. ???????????? pc ????? ?? 2. ???? 000c2h frqsel4 ? ?1? per1 ? trd0en ? ?0? ?? rd sfr ????? f clk ?? f ih ? trd0en ?1? ?? 3. wdte ??????? ? ??? sfr special function register ?? ?3.1.4 ??? sfr special function register ? ?3.1.5 ???? 2nd sfr 2nd special function register ? ? ??? ? 1 ?? ?? 0 to0 0000h ?? 0 toe0 0000h ??? 0 tol0 0000h ???? 0 tom0 0000h ? rj ? rj ? 0 trj0 ffffh ? rj ?? 0 trjcr0 00h ? rj i/o ?? 0 trjioc0 00h ? rj ??? 0 trjmr0 00h ? rj ??? 0 trjisr0 00h ? rd ? rd elc ? trdelc 00h ? 2 ? rd ? trdstr 0ch ? 2 ? rd ??? trdmr 00h ? 2 ? rd pwm ?? trdpmr 00h ? 2 ? rd ??? trdfcr 80h ? 2 ? rd ? 1 trdoer1 ffh ? 2 ? rd ? 2 trdoer2 00h ? 2 ? rd ?? trdocr 00h ? 2 ? rd ??? 0 1 trddf0 trddf1 00h ? 2 ? rd ?? 0 1 trdcr0 trdcr1 00h ? 2 ? rd i/o ?? a0 a1 trdiora0 trdiora1 00h ? 2 ? rd i/o ?? c0 c1 trdiorc0 trdiorc1 88h ? 2 ? rd ??? 0 1 trdsr0 trdsr1 00h ? 2 ? rd ? 0 1 trdier0 trdier1 00h ? 2 ? rd pwm ??? 0 1 trdpocr0 trdpocr1 00h ? 2 ? rd 0 1 trd0 trd1 0000h ? 2 ? rd ?? a0 a1 b0 b1 c0 c1 d0 d1 trdgra0 trdgra1 trdgrb0 trdgrb1 trdgrc0 trdgrc1 trdgrd0 trdgrd1 ffffh ? 2 12 ? ?? itmc 0fffh ? / ? ??? 0 1 cks0 cks1 00h ?? ? wdte 1ah/9ah ? 3
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 652 2014.10.31 18-2 ????? (3/4) ? ???????????? pc ????? ?? ? ??? sfr special function register ?? ?3.1.4 ??? sfr special function register ? ?3.1.5 ???? 2nd sfr 2nd special function register ? ? ??? ? a/d ? 10 a/d ?? adcr 0000h 8 a/d ?? adcrh 00h ??? 0 2 adm0 adm2 00h ????? adul ffh ????? adll 00h a/d ?? adtes 00h ???? ads 00h a/d ?? adpc 00h ? / ? ? ????? compmdr 00h ???? compfir 00h ??? compocr 00h ?????? cvrctl 00h ?????? 0 c0rvm 00h ?????? 1 c1rvm 00h pga ?? pgactl 00h pwm ??? 6 pwm ???? opmr 00h 6 pwm ???? opsr 00h 6 pwm ? hi -z ?? ophs 00h 6 pwm ? hi-z ??? opht 00h ? sau ?? 00 03 sdr00 sdr03 0000h ??? 00 03 ssr00 ssr03 0000h ?? 00 03 sir00 sir03 0000h ??? 00 03 smr00 smr03 0020h ??? 00 03 scr00 scr03 0087h ???? 0 se0 0000h ??? 0 ss0 0000h ???? 0 st0 0000h ??? 0 sps0 0000h ? 0 so0 0f0fh ? 0 soe0 000 0h ?? 0 sol0 0000h ?? 0 ssc0 0000h ?? isc 00h elc ??? 00 05 07 18 elselr00 05 07 18 00h
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 653 2014.10.31 18-2 ????? (4/4) ? 1. ???????????? pc ????? ?? 2. ?? 3. ? lvd ?? ? ??? lvimds1 lvimds0=1 0 ? 00h ? ??? lvimds1 lvimds0=1 1 ? 81h ? ??? lvimds1 lvimds0=0 1 ? 01h ? ??? sfr special function register ?? ?3.1.4 ??? sfr special function register ? ?3.1.5 ???? 2nd sfr 2nd special function register ? ? ??? ? 1 ??? resf ? 2 ? ?? lvim 00h ? 2 ??? lvis 00h/01h/81h ? 2 3 ?? 0l 0h 1l 1h 2l 2h if0l if0h if1l if1h if2l if2h 00h ?? 0l 0h 1l 1h 2l 2h mk0l mk0h mk1l mk1h mk2l mk2h ffh ???? 00l 00h 01l 01h 02l 02h 10l 10h 11l 11h 12l 12h pr00l pr00h pr01l pr01h pr10l pr10h pr11l pr11h pr02l pr02h pr12l pr12h ffh ?? 0 egp0 00h ??? 0 egn0 00h ? cr c ?? crc0ctl 00h crc ? pgcrcl 0000h crc ? crcin 00h crc ?? crcd 0000h ???? iawctl 00h ram ??? rpectl 00h ? bcd bcd ? bcdadj ? ? reset por ? ??? wdt ram ? ? ?? lvd resf trap ?0 ?1? wdtrf ?1? rperf ?1? iawrf ?1? lvirf ?1? lvim lvisen ?0? lviomsk ?0 lvif lvis 00h/01h/81h
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 654 2014.10.31 18.2 ????? R7F0C015 r7f0c016 ?????? resf M? ? ? 8 ?? resf ? ? reset ??y por ? resf ??? trap wdtrf rperf iawrf lvirf ? ? 18-5 ??? resf ?? ? 1. ??? 18-3 2. ?? ffh ??? ????????? ? 1. ???? 2. ram ? rperdis=0 ?? ? ram ? ? ram ??? ? ram +10 ? ? ? ? ram ? rperdis=0 ??? ?21.5 ram ? ? ? ? fffa8h ??? ? 1 r 76543210 resf trap 0 0 wdtrf 0 rperf iawrf lvirf trap ???? ? 2 0 ?? resf ? 1 ? wdtrf ?? wdt ? 0 ?? resf ? 1 ? rperf ram ?? 0 ?? resf ? 1 ? iawrf ??? 0 ?? resf ? 1 ? lvirf ? lvd ? 0 ?? resf ? 1 ?
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 655 2014.10.31 ? resf ??? 18-3 ? 18-3 ? resf ??? ??? ? 18-6 ? ? ? reset por ? ??? wdt ram ? ? ?? lvd trap ?0? ?1? wdtrf ?1? rperf ?1? iawrf ?1? lvirf ?1?
R7F0C01592esn r7f0c01692esn 18 r01uh0453cj0210 rev.2.10 656 2014.10.31 ? 18-6 ??? yes no no y ?! a +,?k?(?n < {*yf?!a?" ++ _?#+ c? {*yf?!a?" no resf?, < ,xwdtrf = 1? yes no ;> m2"??? 5{*yf?!a?" resf?, < ,xtrap = 1? yes ramj?p`ja? 5{*yf?!a?" yes resf?, < ,xlvirf = 1? {*t+ !f?! resf?, < ,xrperf = 1? no , am2"?,| < 5{*yf?!a?" yes resf?, < ,xiawrf = 1? a?resf?, < a?resf?, <(#l8resf?, <) resf,x,??,xram?
R7F0C01592esn r7f0c01692esn 19 ?y? r01uh0453cj0210 rev.2.10 657 2014.10.31 19 ?y? 19.1 ?y?? ?y? por 1? ? ??????? ?? v dd ? v por ?????? ? 28.5 ac ? ????????????? ? ?? v dd ?? v pdr ?? v dd v pdr ????? ????? ? 28.5 ac ? ????? stop ??? ????????????????? ? ? por ?????? resf trap wdtrf rperf iawrf lvirf ? ?00h? ? 1. ????????? wdt ? lvd ??? ram ????????????? resf ? wdt lvd ??? ram ???????? resf ? ?00h? ? ?1? resf ??? ? 18 ? 2. v por por ??? v pdr por ???? ? ?28.7.5 por ?
R7F0C01592esn r7f0c01692esn 19 ?y? r01uh0453cj0210 rev.2.10 658 2014.10.31 19.2 ?y??? ?y??? ? 19-1 ? ? 19-1 ?y??? 19.3 ?y? ?y????????? + ? ??+ _$d yf?! ? v dd v dd
R7F0C01592esn r7f0c01692esn 19 ?y? r01uh0453cj0210 rev.2.10 659 2014.10.31 ? 19-2 ?y???????? (1/3) (1) ? reset ?? ? 1. ????? 000c2h ?????????? ??????????? reset ?? ? hs ?? v dd =2.7 5.5v@1mhz 24mhz ls ?? v dd =2.7 5.5v@1mhz 8mhz 2. ???????????????? 3. ? cpu ??????????? x1 ????? ??? ostc ??? 4. ??????? v por 1.51v(typ.) ? ???? ? ?? reset ? ??? ?1? ? ? ???? por ? 1 ? ?? ??? por ? 1 0.672ms(typ.) 0.832ms(max.) ? lvd 0.399ms(typ.) 0.519ms(max.) ? lvd 5. por 2 ??????? por ? 2 ? 0.531ms(typ.) 0.675ms(max.) ? lvd 0.259ms(typ.) 0.362ms(max.) ? lvd 6. ??????? ?28.5 ac ? ????????? ??????????? stop ?????? ????????????? ? lvd ? off ?? reset ??? ? 20 ? ? ? v por por ??? v pdr por ???? 0 v reset 6? cpu p?eyf?95 < js (f ih ) p?e2?43js (f mx ) (ey?x195,x?? ) e?e?eca?n ??95? 0!6 e> 1y952?z0n "?2 ? 1y952?z0n "?2 ? e? e> "?3 (p?eyf?95 <js ) e? e> "?3 (p?eyf?95 <js ) 0!6e> !k (0!695) + $d+ _ (v dd ) 10+ _8 ?l$ "?1 v por =1.51 v (typ.) v pdr =1.50 v (typ.) e?e?eca?n ??95? yf?! ? ?l8f?!,x!?)k "?4 ?l8f?!,x!?)k "?4 + _0n1yk0.99ms (typ.)?2.30ms (max.) + _0n1yk0.99ms (typ.)?2.30ms (max.) 7?? 10 s "?6
R7F0C01592esn r7f0c01692esn 19 ?y? r01uh0453cj0210 rev.2.10 660 2014.10.31 ? 19-2 ?y???????? (2/3) (2) lvd ? & ?? ?? 000c1h lvimds1 lvimds0=1 0 ? 1. ????? 000c2h ?????????? ??????????? reset ?? ? hs ?? v dd =2.7 5.5v@1mhz 24mhz ls ?? v dd =2.7 5.5v@1mhz 8mhz 2. ? cpu ??????????? x1 ????? ??? ostc ??? 3. ??????????? 4. ? 1 ? intlvi ???? lvis lvil lvimd ?1? ???????? v lvdl ?? v lvdh ??? intlvi ??????? 5. ??????? v por 1.51v(typ.) ? ???? +por ? ? ? ?? lvd ? v lvdh ? ?lvd ? ? lvd ??0ms 0.0701ms(max.) ? v lvdh v lvdl lvd ? v por por ??? v pdr por ???? 0 v cpu v lvdh lvdl intlvi "?4 p?eyf?95 < js (f ih ) p?e2?43js (f mx ) (ey?x195,x??) e?e?eca?n ??95? 0!6e> 1y952?z0n "?3 ? 1y952?z0n "?3 ? e? e> "?2 (p?eyf?95 <js ) e? e> "?2 (p?eyf?95 <js) 0!6e> !k (0!695) + $d+ _(v dd ) 10+ _8 ?l$ "?1 v por =1.51 v (typ.) v pdr =1.50 v (typ.) e?e?eca?n ??95? yf?! ? lvd !?)k "?5 lvd !?)k "?5 + _0n1yk+por!?)k 1.64ms (typ.)?3.10ms (max.) + _0n1yk+por!?)k 1.64ms (typ.)?3.10ms (max.)
R7F0C01592esn r7f0c01692esn 19 ?y? r01uh0453cj0210 rev.2.10 661 2014.10.31 ? 19-2 ?y???????? (3/3) (3) lvd ?? ?? 000c1h lvimds1 lvimds0=1 1 ? 1. ????? 000c2h ?????????? ??????????? reset ?? ? hs ?? v dd =2.7 5.5v@1mhz 24mhz ls ?? v dd =2.7 5.5v@1mhz 8mhz 2. ? cpu ??????????? x1 ????? ??? ostc ??? 3. ??????????? 4. ??????? v por 1.51v(typ.) ? ???? +por ? ? ? ?? lvd ? v lvd ? ?lvd ? ? lvd ??0ms 0.0701ms(max.) 5. ???????? lvd ?????? lvd ? v lvd ? ?lvd ? ? lvd ?? 0.0511ms(typ.) 0.0701ms(max.) ? 1. v lvd lvd ? v por por ?? v pdr por ??? 2. ? lvd ?? ?? 000c1h lvimd1 lvimd0=0 1 ??????? ? ? 19-2 (3) lvd ?? ? ? ? 3? ?? 0 v cpu v lvd p?eyf?95 < js (f ih ) p?e2?43js (f mx ) (ey?x195,x??) 0!6e> 1y952?z0n "?3 ? 1y952?z0n "?3 ? 1y952?z0n "?3 ? e? e> "?2 (p?eyf?95 <js) e? e> "?2 (p?eyf?95 <js) e? e> "?2 (p?eyf?95 <js) 0!6e> !k (0!695) !k (0!695) + $d+ _(v dd ) 10+ _8 ?l$ "?1 v por =1.51 v (typ.) v pdr =1.50 v (typ.) e?e?eca?n ??95? e?e?eca?n ??95? e?e?eca?n ??95? yf?! ? lvd !?)k "?4 lvd !?)k "?4 lvd !?)k "?5 + _0n1yk+por!?)k 1.64ms (typ.)?3.10ms (max.) + _0n1yk+por!?)k 1.64ms (typ.)?3.10ms (max.)
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 662 2014.10.31 20 ? 20.1 ?? ? lvd 1? ? ?? v dd ?? v lvdh v lvdl v lvd ?????? ? ???? v lvdh v lvdl ???? 6 ?? ? 23 ? ? ? ? stop ?? ? ??????? ? 28.5 ac ? ?????? ??????????????? stop ? ?????????????? 000c2h ? ? ???? 3 ?? (a) & ?? ??? lvimds1 lvimds0=1 0 ?? 000c1h ? 2 ???? v lvdh ??? ?? v lvdl ? (b) ?? ??? lvimds1 lvimds0=1 1 ?? 000c1h ? 1 ? v lvd ?? (c) ?? ??? lvimds1 lvimds0=0 1 ?? 000c1h ? 1 ? v lvd ??? & ??? 2 ? v lvdh v lvdl ?????? 1 ? v lvd ???? lv imds0 lvimds1 ???? ?????? lvif ?? lvim bit0 ??? ??????? ???? resf bit0 lvirf ?1? resf ?? ? ? 18 ? & ?? lvimds1 lvimds0=1 0 ?? lvimds1 lvimds0=1 1 ?? lvimds1 lvimds0=0 1 v dd v lvdh ???? v dd v lvdl ?? v dd v lvdh ?? v dd v lvd ?? v dd v lvd ?? ? por ? 1 ?? ? v dd v lvd ? ? ? por 2 ??? ?? v dd v lvd v dd v lvd ???
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 663 2014.10.31 20.2 ??? ??? ? 20-1 ? ? 20-1 ??? lviomsk v dd n-ch lvilv lvimd lvif lvisen + _?# + gey? + c? v lvdh v lvdl /v lvd ??+ _$d ey ? < eynm+8v(000c1h) ,x vpoc2?vpoc1?vpoc0 eynm+8v(000c1h) ,x lvis1?lvis0 v dd + _?#+ g ?, < (lvis) + _?# ?, < (lvim) intlvi yf?! ? { 
+ c? yf?4? +
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 664 2014.10.31 20.3 ???? ????? ? ?? lv im ? ??? lvis 20.3.1 ?? lvim ???????? lvis ? lvd ?? ? 1 8 ??? lvim ? ????????? ?00h? ? 20-2 ?? lvim ?? ? 1. ??? lvd ? lvim ?????? lvim ?00h? 2. bit0 bit1 ? 3. ?? & ?? ??? lvimds1 lvimds0 ?? ?1? ?0? ???? ???? 4. ?? & ?? ??? lvimds1 lvimds0 ?? ?1? ?0? ? lviomsk ??? ?1? lvd ??? ? lvisen=1 ? ? ? lvd ?? lvd ??????? ? ? lvilv ? lvd ??????? ? fffa9h 00h ? 1 r/w ? 2 lvim lvisen 0 0 0 0 0 lviomsk lvif lvisen ??? lvis / ?? 0 ? lvis ? lviomsk=0 lvd 1 lvis ? lviomsk=1 lvd ? 3 lviomsk lvd ??? 0 lvd 1 lvd ? 4 lvif ?? 0 ?? v dd ?? v lvd ?? lvd 1 ?? v dd ? v lvd 7 654321 0
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 665 2014.10.31 20.3.2 ??? lvis ????? ? 1 8 ??? lvis ? ????????? ?00h/01h/81h? ? 1 ? 20-3 ??? lvis ?? ? 1. ??????? ? lvd ??? ?00h? ? lvd ????? ? ??? lvimds1 lvimds0=1 0 ? 00h ? ??? lvimds1 lvimds0=1 1 ? 81h ? ??? lvimds1 lvimds0=0 1 ? 01h 2. ???? lvimds1 lvimds0 ?? ?1? ?0? & ??? ?0? ??????I ? 1. ? lvis ??? lvisen lvim ? bit7 ?1? 2. ??? 000c1h ? lvd ???? v lvdh v lvdl v lvd ?? 000c1h ? 20-1 ?????? ? 23 ?? ? ? fffaah 00h/01h/81h ? 1 r/w lvis lvimd ? 2 000000 lvilv ? 2 lvimd ? 2 ??? 0 ?? 1 ?? lvilv ? 2 lvd ? 0 ??? v lvdh 1 ??? v lvdl v lvd 7 6543210
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 666 2014.10.31 20-1 ???? 000c1h lvd ????? ? & ??? ? ??? ? ??? ?lvd ? off ?? ? lvd ? off ??????? reset 10 s ??? ??????? reset ?????? 10 s ????????????? reset ?? ????? 000c2h ? 1. 2. ? typ. ?? ?28.7.6 lvd ? ? ????? v lvdh v lvdl lvimds1 lvimds0 vpoc2 vpoc1 vpoc0 lvis1 lvis0 ? ? 2 . 9 2 v2 . 8 6 v2 . 7 5 v1001110 3.02v 2.96v 01 4.06v 3.98v 00 ?? ? ????? v lvd lvimds1 lvimds0 vpoc2 vpoc1 vpoc0 lvis1 lvis0 ? 2.81v 2.75v 1 101111 2.92v2.86v 01110 3.02v2.96v 01101 3.13v3.06v 00100 3.75v3.67v 01000 4.06v3.98v 01100 ?? ? ????? v lvd lvimds1 lvimds0 vpoc2 vpoc1 vpoc0 lvis1 lvis0 ? 2.81v2.75v0101111 2.92v2.86v 01110 3.02v2.96v 01101 3.13v3.06v 00100 3.75v3.67v 01000 4.06v3.98v 01100 ?? ? ????? v lvd lvimds1 lvimds0 vpoc2 vpoc1 vpoc0 lvis1 lvis0 ? ?? 0/1 1 1 ??
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 667 2014.10.31 20.4 ? 20.4.1 ???? ? 3?????? ??? 000c1h ????? lvimds1 lvimds0=1 1 ?? v lvd ? ?? lvim bit7 lvisen ?0? ???? lvis ? ??? lvimds1 lvimds0 ?1? ? lvis ???? ?81h? bit7 lvimd ? ?1? ?? bit0 lvilv ? ?1? ??? v lvd lvd ?? ????? ??? lvimds1 lvimds0=1 1 ??? v dd ? ? v lvd ? lvd ????? v dd ?? v lvd ?? ????? v dd ??? v lvd ? lvd ? ?????? ? 20-4 ?
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 668 2014.10.31 ? 20-4 ????? ??? lvimds1 lvimds0=1 1 ? v por por ??? v pdr por ???? h h lvif ?? lvimd ?? lvirf ?? lvilv ?? por ! ? lvd ! ? v lvd v por = 1.51 v (typ.) v pdr = 1.50 v (typ.) + $d+ _ 10+ _8 ?l$ (v dd ) k e?e?ec#l8? #l8 #l8 #l8 #l8 (resf?, <) yf?! ?
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 669 2014.10.31 20.4.2 ???? ? ??? 000c1h ????? lvimds1 lvimds0=0 1 ?? v lvd ?? reset ?? 3?????? ? ?? lvim bit7 lvisen ? ?0? ???? lvis ? ??? lvimds1 lvimds0 ? ?0? ?1? lvis ???? ?01h? bit7 lvimd ? ?0? ?? bit0 lvilv ? ?1? ??? v lvd lvd ?? ??? por ? 1 ?? ??? lvimds1 lvimds0=0 1 ?? ? v dd ?? v lvd ? lvd ????? v dd ?? v lvd ?? ????? v dd ??? v lvd ? por 2 ? ??? v dd ?? v lvd ? lvd ? intlvi ? ?? ? 28.5 ac ? ????? stop ?????? ???????????? ?????? ? 20-5 ?
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 670 2014.10.31 ? 20-5 ???? ??? lvimds1 lvimds0=0 1 ? 1. ??? lvimk ?? ?1? 2. ????? ?28.5 ac ? ????? stop ???? ????????????? ? v por por ??? v pdr por ???? h intlvi lvif ?? lvimd ?? lvimk ?? lvilv ?? v lvd v por = 1.51 v (typ.) v pdr = 1.50 v (typ.) lviif ?? h por ! ? lvd ! ? + $d+ _ 10+ _8 ?l$ (v dd ) k e?e?ec#l8? #l8 (#;?) (e?e?eca?n ) yf?! ? "?2 "?2 "?1
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 671 2014.10.31 20.4.3 & ???? ? ??? 000c1h ??? & ?? lvimds1 lvimds0=1 0 ? ? v lvdh v lvdl 3?????? ? ?? lvim bit7 lvisen ? ?0? ???? lvis ? ??? lvimds1 lvimds0 ? ?1? ?0? lvis ???? ?00h? bit7 lvimd ? ?0? ?? bit0 lvilv ? ?0? ??? v lvdh lvd & ?? ??? & ?? ??? lvimds1 lvimds0=1 0 ??? v dd ??? v lvdh ? lvd ????? v dd ??? v lvdh ?? ????? v dd ???? v lvdh ? lvd ? intlvi ??????? v dd ???? v lvdl ? lvd ??? intlvi ????????? ? v lvdl ??????? v lvdh ????? ? lvd & ???? ? ? 20-7 ?? / ? ? ? ? 20-8 & ????? ? ???? ???????? ? 20-6 ?
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 672 2014.10.31 ? 20-6 & ???? ??? lvimds1 lvimds0=1 0 (1/2) h "? 1 v lvdl v lvdh v por = 1.51 v (typ.) v pdr = 1.50 v (typ.) + $d+ _ (v dd ) intlvi lviif?? lvimk ?? (e?e?eca?n) lvirf?? lvimd ?? lvilv ?? lvif ?? lviomsk?? lvisen ?? (e?e?eca?n) e?e?ec#l8 "?2 ? ! ! ! e? e> vp ?l8#; a"uy{*! 6???6v dd 1v lvdh ? lvimd!#?0? ae@/?!7 e> ? e?e?ec#l8? e?e?ec#l8? k yf?! ? lvd ! ? por ! ? #l8 #l8 e? e> e> (?? e? e> _?) _?) e?e?ec1y0n(400s55tjs(f il )) "?3 ? 10+ _8 ?l$ e?e?ec #l8 "?3 ?
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 673 2014.10.31 ? 1. ??? lvimk ?? ?1? 2. ? & ????? ? ? 20-7 ?? / ? ? ? 3. ? & ???? ? ? 20-8 & ????? ? ? ? v por por ??? v pdr por ????
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 674 2014.10.31 ? 20-6 & ???? ??? lvimds1 lvimds0=1 0 (2/2) h "? 1 v lvdl v lvdh v por = 1.51 v (typ.) v pdr = 1.50 v (typ.) + $d+ _ (v dd ) lvimk ?? (e?e?eca?n) e> (?? intlvi lviif ?? lvirf ?? lvif ?? lviomsk ?? lvisen ?? (e?e?eca?n) e?e?ec#l8? e? e> ! e?e?ec #l8? "? 2 k e?e?ec#l8? ! ! e? e> _?) #l8 #l8 ?l8#; a v dd ?v lvdh  lvimd=1(!??) 1{*!? lvimd ?? lvilv ?? yf?! ? lvd ! ? por ! ? 10+ _8 ?l$ e?e?ec1y0n(400s55tjs(f il )) "?3 ? _?) "? 3 e?e?ec #l8?
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 675 2014.10.31 ? 1. ??? lvimk ?? ?1? 2. ? & ????? ? ? 20-7 ?? / ? ? ? 3. ? & ???? ? ? 20-8 & ????? ? ? ? v por por ??? v pdr por ???? ? 20-7 ?? / ? intlvi,x * lvisen = 1  lvisen !5b?1?#;+ _?# (lviomsk=1) ?  lvilv!5b?0?a?np?+ _?#+ g(v lvdh )?  lvisen !5b?0?a??#+ _?  lvisen !5b?1?#;+ _?# (lviomsk=1) ?  lvimd !5b?0?a?n????  lvisen !5b?0?a??#+ _? ' lvd+ c?"uy{*yf?!?6 v dd 1 v lvdh e9e? e> ? lvisen = 0 yes no *lvd ! lvilv = 0 lvisen = 1 lvisen = 0 lvimd = 0 no yes lviomsk = 0 {* lvd ,xyf?! e? e> _?) e> l?,x _?)?
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 676 2014.10.31 ? & ?? lvimds1 lvimds0=1 0 ? lvd lvirf=1 ? 400 s 5 f il ???????????? lvimd ?0? ?? ??????? lvimd ?? lvisen ?1? lvd ? ?? & ????? ? 20-8 ? ? 20-8 & ????? ? f il ??? yes lvisen = 1 lvirf = 1 ? no lvimd = 0 .bax lvd + c?{*,xyf?!?  lvisen !5b?1?#;+ _?# (lviomsk=1) ?  lvimd !5b?0?a?n???? lvisen = 0  lvisen !5b?0?a??#+ _? + $d+ _t  .bax!$d ?';? 18-6 !$d,x.bax!9px?? e?e?ece> 400s 5 5 t f il js,xaud? + _?#0n1yk e? e>
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 677 2014.10.31 20.5 ?? (1) ?????? ??? v dd lvd ??????????? ?????????? ? ?????????????????? ??? ? 20-9 lvd ???? 50ms ? ? ????????? ? m=0 n=0 3 yes no #l8 wdt "? ! ???)7 ???)8 ?';? 18-6 !$d,x.bax!9px?? a?nn (tsmn = 1) ?
R7F0C01592esn r7f0c01692esn 20 ? r01uh0453cj0210 rev.2.10 678 2014.10.31 (2) ? lvd ?? lvd ? ?? v dd lvd ? v lvd lvd ?????? lvd ? v lvd ??? v dd lvd ????? ? 20-10 ? 20-10 ? lvd ?? lvd ? ?? 300 s (max.) (3) ????????? ?28.5 ac ? ????? stop ????????????????? ? (4) lvd ? off ??????? reset 10 s ????????? reset ???? ?28.5 ac ? ???? 10 s ????????? ?28.5 ac ? ??? reset ?? v lvd + $d+ _ (v dd ) lvd ! ? 77 k
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 679 2014.10.31 21 ? 21.1 ???? ??? iec60730 iec61508 ?? R7F0C015 r7f0c016 ?? ????????????? (1) crc ? crc ? crc ? crc ? ??????? 2 crc ?? crc?? ???? cpu ?? ?? ? crc?? cpu ???? (2) ram ?? ? ram ?? (3) ram ? cpu ?? ram ? (4) sfr ? cpu ?? sfr (5) ??? ?? ????????? (6) ??? ???? cpu/ ?????? (7) a/d ? ? a/d ? + ?? ? ???? ani ?? ?? a/d ? a/d ??? (8) / ?????? / ???? ???? pmm pmmn ? ?0? ???? ? m=0 6 12 n=0 6
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 680 2014.10.31 21.2 ????? ?????? ??????? 21.3 crc ? crc iec60730 ????? crc ???? crc ??? ? ?? ram ?????? halt ??? crc crc ?? cpu ? 1 ?? 32 ?????? ? 16kb 171 s@24mhz crc ??? crc-16-ccitt ?x 16 +x 12 +x 5 +1? bit31 bit0 msb ?? ? ?????? crc ? ? ?? crc ? lsb ?? ? ??? ? crc ?? crc0ctl ? crc ? pgcrcl crc ? crc ? crc ? crcin ? crc ?? crcd crc ? ? crc ?ram ??? rpectl ram ?? ? ???? iawctl ram sfr ??? ? ??? 0 tis0 ??? ?a/d ?? adtes a/d ? ? ????? pms / ??????
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 681 2014.10.31 21.3.1 crc ?? crc0ctl ? crc ???? ? 1 8 ??? crc0ctl ? ????????? ?00h? ? 21-1 crc ?? crc0ctl ?? ? ???? crc ?? 4 ????? 4 ??? 21.3.2 crc ? pgcrcl ? crc ?? ? 16 ??? pgcrcl ? ????????? ?0000h? ? 21-2 crc ? pgcrcl ?? ? ? crc0en crc0ctl ? bit7 ? ?1? ? pgcrcl ? ? f02f0h 00h r/w crc0ctl crc0en 0 fea5 fea4 fea3 fea2 fea1 fea0 crc0en crc 0 ?? 1 ?? halt ???? fea5 fea4 fea3 fea2 fea1 fea0 crc ? 000000 00000h 03ffbh 16k?4 ?? ?? ? f02f2h 0000h r/w 15 14 13 12 11 10 9 8 pgcrcl pgcrc15 pgcrc14 pgcrc13 pgcrc1 2 pgcrc11 pgcrc10 pgcrc9 pgcrc8 76543210 pgcrc7 pgcrc6 pgcrc5 pgcrc4 pgcrc3 pgcrc2 pgcrc1 pgcrc0 pgcrc15 0 crc 0000h ffffh crc 7 6543210
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 682 2014.10.31 21.3.3 crc ? crc ?? 21-3 ? ? 21-3 crc ? crc ? ? 1. ??? crc ? 2. ? crc ???? 3. ? ram ? halt ?? crc ? ram ? halt ? crc ?? ??? ?cubesuite+? ??? cubesuite+ d evelopment environment user?s manual a?nfea5fea0 ! pgcrcl = 0000h crc0en = 0 a? pgcrcl  ;> ret ?? crc0en = 1 acrcye> !ew call ?? ;> halt ?? halt??
`ret??
 rame> 10+8v,x?? z ram y ;>   halt??
`ret??
ram? ret??,x a10+8ve> ??? a?n#; crce1k4p?, <,x??? a?crce1k4p? a_,,xye> !ew? a*>
ram,xhalt??,x  ? e?e?;> halt????crce1k? vpe1k43?l8halt??? e?e? ret ?? ramy,x;> ??e 2? ?? _crce1k4p,xy, ?",x4+8v? a?ncrce1k8 ?  R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 683 2014.10.31 21.4 crc ? ? crc ??????? iec61508 ??? cpu ???? ? crc cpu ?? crc ?? crc ??? ?? ?????? crc ??? crc-16-ccitt ?x 16 +x 12 +x 5 +1? ?? lsb ???? ??? lsb ?12345678h? ?78h? ?56h? ?34h? ?12h? ? crcin ?? crcd ?? ?08f6h? ??? ?12345678h? crc ? crcin ? 78h 56h 34h 12h ? 0111 1000 0101 0110 0011 0100 0001 0010 0001 1110 0110 1010 0010 1100 0100 1000 ??? 0110 1111 0001 0000 crcd 0000 1000 1111 0110 ??? 08f6h ? ??????????? crc ?? ?? crc ?? 21.4.1 crc ? crcin ?? crc crc ? 8 ? ??? ?00h ffh? ? 8 ??? crcin ? ????????? ?00h? ? 21-4 crc ? crcin ?? ? fffach 00h r/w 76543210 crcin bit7 0 00h ffh
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 684 2014.10.31 21.4.2 crc ?? crcd ?? crc ?? ??? ?0000h ffffh? crcin ?? 1 cpu/ ??? f clk crc crcd ? ? 16 ??? crcd ? ????????? ?0000h? ? 21-5 crc ?? crcd ?? ? 1. ? crcd ??? crcin ?? crcd ? 2. crcd ??M? 21.4.3 crc ? ? crc ?? 21-6 ? ? 21-6 crc ? ? crc ? ? f02fah 0000h r/w 1514131211109876543210 crcd yes no ?? 4-crcd ?, <m ? 0000h ? 43 ? a   ?  +1 a?crcd ?, < ?nck?  
`43   db,crcin ?, < a?db acrc4p? a??,xye> !ew .bax, ? ck?  
`43  ,e?*?, <? e> crcd ?, <,x??? a?,h  ,x 8 !db? au1k8 !db,xcrc? 1y1 tjs(f clk )
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 685 2014.10.31 21.5 ram ?? iec60730 ??? ram ?? R7F0C015 r7f0c016 ram ? 8 1 ? ram ????????? ? 21.5.1 ram ??? rpectl ?????? ? 1 8 ??? rpectl ? ????????? ?00h? ? 21-7 ram ??? rpectl ?? ? ? mcu ?? cpu ? ram ?? ram ram ? ? ram ? rperdis=0 ? ? ram +10 ? ? ? ram ? rperdis=0 ?????? ? ? ram +10 ? ? ?? ram ??? ram ???? ? 1. ram ????? pref ?? 2. ????? rperdis=0 ????? rperdis=1 ???? rpef ? ?1? 3. ram ? rpef ? ?1? ? ?0? ?? rpef ? ?0? rpef ?? ?1? ??? ram rpef ?? ?1? ?? ? f00f5h 00h r/w rpectl rperdis 0 0 0 0 0 0 rpef rperdis ??? 0 ? 1 ?? rpef ???? 0 ?? 1 ? 7 6543210
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 686 2014.10.31 ? 21-8 ram ? ? ram ???? ? 18 ? rperf = 1 "? no ram j?p` rperdis = 1 ram j?p` rpef = 1 rperdis = 0 yes no ram lp?) a? ram ? yes no yes j?p`?? *j ?p`ja? *yf?! e? e> /u!6j?p`ja?,x!? .baxj?p`ja? ,x *? a?j?p`ja? ,x!?
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 687 2014.10.31 21.6 ram ??????? iec61508 ??? cpu ??? ram ?? ram ?? ram ?? ?? ram ??? ram ?? 21.6.1 ???? iawctl ??????? ram/sfr ? ram ? gram1 gram0 ? 8 ??? iawctl ? ????????? ?00h? ? 21-9 ???? iawctl ?? ? ram ???? ram ? 21-11 ??? ffee0h ffeffh ? f0078h 00h r/w 76543210 iawctl iawen 0 gram1 gram0 0 gport gint gcsc gram1 gram0 ram ? ? 00 ram 01 ram ?? 128 ? 10 ram ?? 256 ? 11 ram ?? 512 ?
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 688 2014.10.31 21.7 sfr ??????? iec61508 ??? cpu ???? sfr ? sfr ??????????? ram ?? ??? ?? sfr ? sfr ?? 21.7.1 ???? iawctl ??????? ram/sfr ? sfr ? gport gint gcsc ? 8 ??? iawctl ? ????????? ?00h? ? 21-10 ???? iawctl ?? ? 1. pxx ??? 2. ??? gcsc ?0? ? f0078h 00h r/w 76543210 iawctl iawen 0 gram1 gram0 0 gport gint gcsc gport ??????? 0 ??????? 1 ???????? [ sfr] pmxx puxx pimxx pomxx pmcxx adpc pior ? 1 gint ????? 0 ??????? 1 ??????? [ sfr] ifxx mkxx prxx egpx egnx gcsc ? 2 ????? ram ?????? 0 ?????? ram ????? 1 ????? ram ?????? [ sfr] cmc csc osts ckc perx osmc lvim lvis rpectl
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 689 2014.10.31 21.8 ??? iec60730 ???? cpu ? ?????????? ???? ? 21-11 ? ?ng? ? ? 21-11 ??? ? ?? ram ?? ? 00000h xxxxxh ram yyyyyh ffeffh R7F0C015 8192 8 00000h 01fffh 1536 8 ff900h ffeffh r7f0c016 16384 8 00000h 03fffh (m!^s6??, < (sfr) 256 +8v ra k0  m "? e?*?, < 32 +8v -?k?, "? =)(m!^s6??, < (2nd sfr) 2k+8v +-  +-  +-  a? a?? (;> ) 6? , a m ok ok ok ok ok ok ok ok ng ng ng ng ng ng ng 00000h xxxxxh fffffh ffeffh fff00h ffedfh ffee0h yyyyyh f0e00h f4000h f0dffh f0800h f07ffh f0000h effffh ef000h eefffh 10000h 0ffffh
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 690 2014.10.31 21.8.1 ???? iawctl ??????? ram/sfr ? ???? iawen ? 8 ??? iawctl ? ????????? ?00h? ? 21-12 ???? iawctl ?? ? iawen ? ?1? ? iawen ? ?1? ?0? ? ? ??? wdton ? ?1? ????? iawen ? ?0? ???? ? f0078h 00h r/w 76543210 iawctl iawen 0 gram1 gram0 0 gport gint gcsc iawen ? ??? 0 ??? 1 ???
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 691 2014.10.31 21.9 ??? iec60730 ????? ????? cpu/ ??? f clk ??? 0 tau0 ? 1 ? 2 ??????? ?? 1 ?? 2 ??????? 2 ????? ????? cpu/ ??? f clk ? ?? f ih ? ??? f mx ?? 0 ? 1 ? ? ? 1 ?? ti01 ? ?? f il 15khz (typ.) ? 21-13 ?????? ?????? ? ??? ? ? ? 6.8.4 ? ? n R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 692 2014.10.31 21.9.1 ??? 0 tis0 ????? 0 tau0 ? 0 ? 1 ??? ?????????????? ?????? ? 8 ??? tis0 ? ????????? ?00h? ? 21-14 ??? 0 tis0 ?? ? f0074h 00h r/w 76543210 tis0 0 0 0tis040tis02tis01tis00 tis04 ? 0 ????? 0 ? ti00 ? 1 elc ?? tis02 tis01 tis00 ? 1 ????? 000 ? ti01 ? 001 elc ?? 010 ? ti01 ? 011 100 ?? f il ??
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 693 2014.10.31 21.10 a/d ? iec60730 ?? a/d ??? a/d ?? a/d ? + ?? ? ???? ani ?????? a/d ?? a/d ? ???? ? a/d ??? r01an0955 ?2??? ? adtes ?? anix ? a/d ? adtes1 adtes0=0 0 ? anix ? a/d ? ? 1-1 ? adtes ?? a/d ?? ? ??? a/d ? adtes1 adtes0=1 0 ? a/d ?? ? ?? a/d ? ? 2-1 ? adtes ?? anix ? a/d ? adtes1 adtes0=0 0 ? anix ? a/d ? ? 1-2 ? adtes ?? a/d ? + ??? a/d ? adtes1 adtes0=1 1 a/d ? + ?? a/d ? ? 2-2 ? adtes ?? anix ? a/d ? adtes1 adtes0=0 0 anix ? a/d ? ? 1-3 ? ? ? 1-1? ? ? 1-2? ? ? 1-3? ? ? ? ? 2-1? a/d ??? ?0? ? ? 2-2? a/d ??? ?1? ??k???????? ? 1. ?? ????????? 2. ????????? ? 21-15 a/d ???? ? ? hs ??? "? (1.45v) "? ani0/av refp a ni1/av refm anixx anixx v dd v ss a/d e@6 < !7(+) ??+ _ a/d e@6 < b(?) ??+ _ ? adrefp1  0 ? adrefm ? adtes1  0 ? adiss ? ads4  0 yf? ??+ _ #yz? < a/d e@6 <
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 694 2014.10.31 21.10.1 a/d ?? adtes ??? a/d ? + ?? ? ???? anixx ?? ???? 1.45v ? a/d ? a/d ??? ? ?? 0v ??? av refm ? a/d ? ? ? av ref ?? av refp ? a/d ? ? 8 ??? adtes ? ????????? ?00h? ? 21-16 a/d ?? adtes ?? ? ? hs ???????? 1.45v ? f0013h 00h r/w 76543210 adtes 0 0 0 0 0 0 adtes1 adtes0 adtes1 adtes0 a/d ? 00 anixx ???? ads ? ? 10a v refm 11a v refp ??
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 695 2014.10.31 21.10.2 ???? ads ??? a/d ???? ?? a/d ?? anixx ????? 1.45v ?? a/d ?? adtes ?00h? ? 1 8 ??? ads ? ????????? ?00h? ? 21-17 ???? ads ?? ? ? hs ??? ? 1. ? bit5 bit6 ?0? 2. ? adiss ? a/d ?????? a/d ???? 0 adm0 adcs=0 adce=0 ? 3. av refp a/d ? + ???? ani0 ? a/d ?? 4. av refm a/d ?? ? ???? ani1 ? a/d ?? 5. ? adiss ?1? ???? 1.45v + ?? ? fff31h 00h r/w 76543210 ads adiss 0 0 ads4 ads3 ads2 ads1 ads0 adiss ads4 ads3 ads2 ads1 ads0 ?? ? 000000ani0 p20/ani0/av refp 000001ani1 p21/ani1/av refm 000010ani2 p22/ani2 000011ani3 p23/ani3 010000ani16 p01/ani16 010001ani17 p00/ani17 010011ani19 p120/ani19 010100 ?pgao 100000 ? ?? ? 100001 ? ??? 1.45v ? ??
R7F0C01592esn r7f0c01692esn 21 ? r01uh0453cj0210 rev.2.10 696 2014.10.31 21.11 / ?????? iec60730 ??? i/o ? / ??????????? ???? pmm pmmn ? ?0? ???? 21.11.1 ????? pms ???????? ???? pmm pmmn ? ?0? ???? ???? ? 1 8 ??? pms ? ????????? ?00h? ? 21-18 ????? pms ?? ? 1. pms ? pms0 ?1? ?? - ????? pm ? pm ?? ? 8 mov ? 2. ??? rd ????????????? ?? ?0? ? m=0 6 12 n=0 6 ? f007bh 00h r/w 76543210 pms 0 0 0 0 0 0 0 pms0 pms0 ????? pmmn ? ?0? ??? 0 pmn ?? 1 ??
R7F0C01592esn r7f0c01692esn 22 ? r01uh0453cj0210 rev.2.10 697 2014.10.31 22 ? 22.1 ??? R7F0C015 r7f0c016 ?????????? 0.47 1 f regc v ss ????????? ? ?????? ?? 22-1 ? 22-1 ?? ? ????? stop ????? 2.1v ? 1.8v ?? ? ls ?? 1.8v ? hs ?? 1.8v stop ?? 2.1v ???? ? regc v ss
R7F0C01592esn r7f0c01692esn 23 ?? r01uh0453cj0210 rev.2.10 698 2014.10.31 23 ?? 23.1 ???? R7F0C015 r7f0c016 ? 000c0h 000c3h ??? ????? 000c0h 000c2h ???? 000c3h ?????????????????? ?1?? ?????? 23.1.1 ??? 000c0h 000c2h (1) 000c0h ?? ? ?? ? halt/stop ???? ???? ?????? ????? ? ???? (2) 000c1h lvd ??? ? & ?? ? ?? ? ?? ?lvd ? off ? reset ??? lvd ? v lvdh v lvdl v lvd ?
R7F0C01592esn r7f0c01692esn 23 ?? r01uh0453cj0210 rev.2.10 699 2014.10.31 (3) 000c2h ??? ?ls ?? ?hs ?? ??? ? 1mhz 4mhz 8mhz 12mhz 16mhz 24mhz 48mhz ? 23.1.2 ???? 000c3h ??? ? ??? ? id ????? ? ????? id ????
R7F0C01592esn r7f0c01692esn 23 ?? r01uh0453cj0210 rev.2.10 700 2014.10.31 23.2 ?????? ? 23-1 ??? 000c0h ?? ? wdstbyon ? ?0? ? window1 window0 ?????? 100% ? f il ??? ? 000c0h 76543210 wdtint window1 window0 wdton wdcs2 wdcs1 wdcs0 wdstbyon wdtint ????? / ? 0 ?? 1 ?? 75%+1/2 f il ?? window1 window0 ????? ? 00 ?? 015 0 % 107 5 % 1 1 100% wdton ??? 0 ? ?? 1 ? wdcs2 wdcs1 wdcs0 ??? f il =17.25khz(max.) 000 2 6 /f il 3.71ms 001 2 7 /f il 7.42ms 010 2 8 /f il 14.84ms 011 2 9 /f il 29.68ms 100 2 11 /f il 118.72ms ?? wdstbyon ??? halt/stop ?? 0 halt/stop ???? ? 1 halt/stop ??
R7F0C01592esn r7f0c01692esn 23 ?? r01uh0453cj0210 rev.2.10 701 2014.10.31 ? 23-2 ??? 000c1h ?? (1/2) ? & ??? ? ??? ? ??? ? bit4 ?1? ? 1. lvd ?? ? 20 ? ? 2. ? typ. ?? ?28.7.6 lvd ? ? 000c1h 76543210 vpoc2 vpoc1 vpoc0 1 lvis1 lvis0 lvimds1 lvimds0 ? ????? v lvdh v lvdl lvimds1 lvimds0 vpoc2 vpoc1 vpoc0 lvis1 lvis0 ? ? 2 . 9 2 v2 . 8 6 v2 . 7 5 v1001110 3.02v 2.96v 01 4.06v 3.98v 00 ?? ? ????? v lvd lvimds1 lvimds0 vpoc2 vpoc1 vpoc0 lvis1 lvis0 ? 2.81v2.75v1101111 2.92v2.86v 01110 3.02v2.96v 01101 3.13v3.06v 00100 3.75v3.67v 01000 4 . 0 6 v3 . 9 8 v 01100 ?? ? ????? v lvd lvimds1 lvimds0 vpoc2 vpoc1 vpoc0 lvis1 lvis0 ? 2.81v2.75v0101111 2.92v2.86v 01110 3 . 0 2 v2 . 9 6 v 01101 3 . 1 3 v3 . 0 6 v 00100 3 . 7 5 v3 . 6 7 v 01000 4 . 0 6 v3 . 9 8 v 01100 ??
R7F0C01592esn r7f0c01692esn 23 ?? r01uh0453cj0210 rev.2.10 702 2014.10.31 ? 23-2 ??? 000c1h ?? (2/2) ?lvd ? off ?? ? 1. bit4 ?1? 2. lvd ? off ??????? reset 10 s ??? ??????? reset ?????? 10 s ????????????? reset ?? ? ? 000c1h 76543210 vpoc2 vpoc1 vpoc0 1 lvis1 lvis0 lvimds1 lvimds0 ? ????? v lvdh lvimds1 lvimds0 vpoc2 vpoc1 vpoc0 lvis1 lvis0 ? DD 0/1 1 1 ??
R7F0C01592esn r7f0c01692esn 23 ?? r01uh0453cj0210 rev.2.10 703 2014.10.31 ? 23-3 ?? 000c2h ?? ? bit5 ?1? ? 000c2h 76543210 cmode1 cmode0 1 frqsel4 frqsel3 frqsel2 frqsel1 frqsel0 cmode1 cmode0 ??? ?? ? 10 ls ?? 1mhz 8mhz 2.7v 5.5v 11 hs ?? 1mhz 24mhz 2.7v 5.5v ?? frqsel4 frqsel3 frqsel2 frqsel1 frqsel0 ??? f hoco f ih 10000 4 8 m h z 2 4 m h z 00000 2 4 m h z 2 4 m h z 01001 1 6 m h z 1 6 m h z 00001 1 2 m h z 1 2 m h z 01010 8 m h z 8 m h z 01011 4 m h z 4 m h z 01101 1 m h z 1 m h z ??
R7F0C01592esn r7f0c01692esn 23 ?? r01uh0453cj0210 rev.2.10 704 2014.10.31 23.3 ??????? ???????? ? 23-4 ???? 000c3h ?? ? ? bit7 bit0 ocdenset ocdersd ?? bit6 1 ?000010b? ? bit3 1 ????????? ??? bit3 1 ??? 0 1 0 ? 000c3h 76543210 ocdenset 0 0 0 0 1 0 ocdersd ocdenset ocdersd ??? 00 ??? 01 ?? 10 ?? ???? id ???? 11 ?? ???? id ????
R7F0C01592esn r7f0c01692esn 23 ?? r01uh0453cj0210 rev.2.10 705 2014.10.31 23.4 ???? ?????????????? ??????? ???? ? ????? cseg ??? opt_byte opt cseg opt_byte db 36h ; ?????? ; ??? ; ?????? ; ???? ; ?????? db 7ah ; v lvdl ? ; v lvdh ? ; ? ??? ?? db adh ; ? ????? ; ???? db 85h ; ???? ????
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 706 2014.10.31 24 R7F0C015 r7f0c016 ????????? ? ?? 8k ?????? ?? 3 ? ? ?? 24.1 ????? ? ?? uart ? 24.2 ?? ? asic uart ???? ? ? 24.6 ????? 00000h effffh f0000h f07ffh f0800h ffedfh ffee0h ffeffh fff00h fffffh (m!^s6??, <(sfr) 256 +8v ram 1.5k+8v e?*?, < 32 +8v -?k?, 816k+8v =)(m!^s6??, <(2nd sfr) 2k+8v +-  +-  +-  k0  "? f0dffh f0e00h f3fffh f4000h ff8ffh ff900h
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 707 2014.10.31 24.1 ?? ?? R7F0C015 r7f0c016 ??? ?pg-fp5 fl-pr5 ?e1 ??? ????? (1) ? ? R7F0C015 r7f0c016 ??????????? (2) ? R7F0C015 r7f0c016 ???????? fa ??? ? fl-pr5 fa ? naito densei machida mfg. co. ltd ?? 24-1 R7F0C015 r7f0c016 ?? ? ? 0.47 f 1 f regc ??? ? ?????? ? ? ? / ? pg-fp5 fl-pr5 e1 ?? ? tool0 / / ? tool0/p40 4 si/rxd ? / / ? sck ? ??? clk ? ??? ? reset ? reset 5 /reset ? flmd0 ? ??? ?? v dd / v dd ? / ? v dd 11 gnd ? ? v ss 10 regc ? 9 emv dd ? tool0 ? v dd 11
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 708 2014.10.31 24.1.1 ? R7F0C015 r7f0c016 ??? ? 24-1 ?? ?? ? R7F0C015 r7f0c016 ??? tool0 ????? uart ?? ????? fa ? 24.1.2 ??? ? R7F0C015 r7f0c016 ?? R7F0C015 r7f0c016 tool0 ???? uart ?? ? 1m 500k 250k 115.2kbps ? 24-2 ?? ? 1. ? e1 ??? 2. ? pg-fp5 fl-pr5 3. ? 0.47 f 1 f regc ??? rs-232c usb R7F0C015 ? r7f0c016  ?*k?,4/? < pg-fp5?fl-pr5 e1 v dd v ss reset tool0 (?* )4? uart) v dd v ss /regc "?3 reset tool0 emv dd v dd v dd gnd reset "?1 ? /reset "?2 R7F0C015? r7f0c016 ?*k?,4/? < pg-fp5?fl-pr5 e1 tool0 "? 1 si/rxd "? 2
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 709 2014.10.31 ? R7F0C015 r7f0c016 ??? pg-fp5 fl-pr5 e1 ? ??? 24-2 ? ? ? 0.47 f 1 f regc ??? ? ???? ??? 24.2 ?? uart ? ? R7F0C015 r7f0c016 uart ? ? asic ??? ? 24.2.1 ? R7F0C015 r7f0c016 ??? ? 24-3 ?? ? R7F0C015 r7f0c016 ?????? ? ?? ? / ? pg-fp5 fl-pr5 e1 ?? flmd0 ? ??? ? v dd / v dd ? / ? v dd gnd ? ? v ss regc ? emv dd ? tool0 ? v dd clk ? ? ? /reset ? ? reset ? reset ?t o o l 0 / / ? tool0 si/rxd ? / ? sck ? ? ? R7F0C015 ? r7f0c016 f? < ( )(5asic1) v dd v ss reset uart (tooltxd?toolrxd) tool0
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 710 2014.10.31 24.2.2 ??? ? R7F0C015 r7f0c016 ?? R7F0C015 r7f0c016 tooltxd ? toolrxd ???? uart ?? ? 1m 500k 250k 115.2kbps ? 24-4 ?? ? ? 0.47 f 1 f regc ??? ? R7F0C015 r7f0c016 ?? 24-3 ? ? ? 0.47 f 1 f regc ??? ? ???? ??? ? ?? ? / ? v dd / v dd ? / ? v dd gnd ? ? v ss regc ? clk ? ? resetout ? reset rxd ? tooltxd txd ? toolrxd port ??? tool0 sck ? ? v dd v ss /regc "? reset tooltxd v dd gnd /reset R7F0C015 ? r7f0c016 rxd txd f? < ( )(5asic1) tool0 port toolrxd
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 711 2014.10.31 24.3 ?? ????????? ??????? ????????????????? ?????? ? ?? ?24.4.2 ?? ? 24.3.1 p40/tool0 ???? 1k ?? ?????? ???? t hd ?????????? ?? 500k ????????? 500k ? 1. t hd ???????? tool0 ????? ?28.11 ???? ? 2. ? R7F0C015 r7f0c016 ???? uart tool0 ??? sau ? 24.3.2 reset ?????????? reset ? ?????????????? ???????????????? ?????? ? 24-5 ??? reset ? eg96? e2y?*k?,4/? <,x6? ja <  ??0u eg?6? k?,4/??? ja <eg?,x ?
` ?*k?,4/? R7F0C015 ? r7f0c016
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 712 2014.10.31 24.3.3 ? ????????????????? ?????????? v dd ? v ss ?? 24.3.4 regc ???????? 0.47 1 f regc gnd ?? ?????? 24.3.5 x1 ? x2 x1 x2 ????? ? ?????? f ih 24.3.6 ? ????? v dd v dd v ss gnd ??????????? ??????????? v dd ? v ss ?? v dd gnd ????
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 713 2014.10.31 24.4 ? 24.4.1 ? ???? ? 24-6 ? ?? k?,,x?0 43 ? yes tool06?
`reset6?,x{
no 43 e@/?k?,4/???
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 714 2014.10.31 24.4.2 ?? ??? R7F0C015 r7f0c016 ?????????? ? ??? ????????? ??? ? tool0 ???? 1ms+ ????? tool0 ?????? uart ??? ?00h? ? 100ms ? uart ???? ? 24-7 ??? ? tool0 ?? ? ???? por lvd ? ? tool0 ???? ? uart ??? ? t suinit ???? 100ms ?????? t su ?? tool0 ???????? t hd ???? tool0 ???? ??? ? ? 28.11 ???? ? reset tool0 7 8 9 t suinit 723s+t hd ?)k t su : y
?00h? (toolrxd?tooltxd??)
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 715 2014.10.31 24-4 ? tool0 ????? ???????? 2 ???????????? ???????????? ????? gui ??????? 24-5 ??????? ? ??? 000c2h cmode1 cmode0 ? ?1? ?? ???????????? gui ??? ??? ? 1. ?????????????? 2. ??? ?24.4.4 ? ? 24.4.3 ??? rl78 ????? 24-6 ??? ? 1. gui standard ???? 2. ??????? uart ???? tool0 ?? v dd ??? 0v ?? ?? ???? ??? 2.7v 5.5v ??? ? ??? standard ? ? 1 ?? port speed ? 2 frequency muitiply rate uart ? ? uart 115200bps 250000bps 500000bps 1mbps ? ? tool0 uart0 ?? uart 115200bps 250000bps 500000bps 1mbps ?? tooltxd toolrxd
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 716 2014.10.31 24.4.4 ? R7F0C015 r7f0c016 ??????? ? R7F0C015 r7f0c016 ??? ? ? R7F0C015 r7f0c016 ?? ??? ? ? ? ? 24-8 ? R7F0C015 r7f0c016 ???? R7F0C015 r7f0c016 ??? 24-7 ? ??????????? ?? ??? R7F0C015 r7f0c016 ? R7F0C015 r7f0c016 ??? 24-8 ? verify ??????? block erase ? ?? block blank check ??? programming ? ? ?? silicon signature ? R7F0C015 r7f0c016 ? ?? ????? checksum ??? ? security set ??? security get ?e?? security release ??? reset ???? baud rate set ?? uart ??? ? ack / ??? nak ? / ???
q? h1( R7F0C015 ? r7f0c016 ?*k?,4/? < pg-fp5?fl-pr5 e1 f? < ( )(5asic1)
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 717 2014.10.31 24.4.5 ?? ? ?silicon signature? ????? ??????? ???? 24-9 24-10 ? 24-9 ? 24-10 ? ? ? 3 ? ascii ? 10 ? ?? ?? ????? 00000h 03fffh 16kb ffh 3fh 00h 3 ? ? ????? ???? ver.1.23 01h 02h 03h 3 ? ? ? ?? 3 ? 10 00 06 r7f0c016 10 ? 52 = ?r? 37 = ?7? 46 = ?f? 30 = ?0? 43 = ?c? 30 = ?0? 31 = ?1? 36= ?6? 20 = ? ? 20 = ? ? ?? 00000h 03fffh 16kb 3 ? ff 3f 00 ? ver.1.23 3 ? 01 02 03
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 718 2014.10.31 24.5 ?? R7F0C015 r7f0c016 ?????????????? ?? security set ??????? ? ? ??????????? ? ? ???????????? ???? security release ??? ? ? 0 ? 0 00000h 00fffh ?? ??????? 0 ??????? ???????? R7F0C015 r7f0c016 ????? 24-11 ? ? ?????? ? ????????? ? 24.6.1
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 719 2014.10.31 24-11 ??? (1) ?? ? ??????????? ?? (2) ? ? ????????? ? 24.6.1 24-12 ????? (1) ?? ? ??? ? ? ? ? ? 0? ????? ?? ? ? ? ? ? ?? ? ?? ? 0 ? 0 ? 0 ?? ?? ? ? ? ?? ?? ? 0 ? 0 ? 0 ?? ? ?? ??? ? ?? gui ?? ??? ?? ?? ? 0 ???
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 720 2014.10.31 24.6 ??? R7F0C015 r7f0c016 ???????????? R7F0C015 r7f0c016 ????? ? 1. ????? di ?? ie ? ?0? ??????? ? ei ?? ie ? ?1? ?????? ?0? ????? 2. ram ? rperdis=0 ? ?? ? ram +10 ? ? ? 3. ???????????????? hiostop=0 ? 30 s ???? 000c2h frqsel4 ? ?0? 80 s frqsel4 ? ?1? ???? ? 1. ??????? rl78 family flash self programming library type01 user?s manual r01us0050 2. ????????????? ????? 2 ??? 24-13 ??????? ? ??? 000c2h cmode1 cmode0 ? ?1? ?? ????????????? ?fsl_init? ? ?fsl_flash_voltage_u08? ? ?00h? ????? ?fsl_flash_voltage_u08? ? ?00h? ????? ? 1. ?????????????? 2. ??????? rl78 family flash self programming library type01 user?s manual r01us0050 ?? ???? ? ??? 2.7v 5.5v 8mhz(max.) ??? ? 24mhz(max.)
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 721 2014.10.31 ??? ? 24-9 ? ? k?,)?w,x?? k?,{
,x?? a?nk?,#;0k ol8 4/? akk?,c gkk?,)?w 43 a?nk?,c ?p` ? /u!6, ak?, ? /u!6e@/? stop ?? ? /u!60!6js
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 722 2014.10.31 24.6.1 ? ?????????????? ? ???????????????? ??????????????? ??? ? 24-10 ?? r7f0c016 ? 04h 06h ? 1. 0 ??????? 0 2. ????? 24-14 ??? / ??? ? ???????? ?24.5 ?? ? ?? / ? ? / ?? gui ???? ???? ???? ? +00h +01h +02h +03h +05h +06h (43 +) +04h (ck? +) +0fh +0eh ??sts4/? h?7?4/? ??sts4/? ??7?4/? ??sts4/? h?7?4/? k?,  k?,#;8 6?*,x4/??"? 0k 8 k?,#;8 03fffh 01c00h 01bffh 01000h 00fffh 00000h
R7F0C01592esn r7f0c01692esn 24 r01uh0453cj0210 rev.2.10 723 2014.10.31 24.7 ? pg-fp5 ???? ? ? pg-fp5 ???? ?? 24-15 ? pg-fp5 ???? ? ? ?? ? typ. ? port tool0 uart speed 1000000bps mode ??? ?? hs ?? pg-fp5 port tool0 uart speed 1mbps 8k ? 16k ? 1s 1s 1s 1.5s 1s 1.5s ? 1s 1.5s
R7F0C01592esn r7f0c01692esn 25 ??? r01uh0453cj0210 rev.2.10 724 2014.10.31 25 ??? 25.1 e1 ??? R7F0C015 r7f0c016 ????? e1 ?????? v dd reset tool0 v ss ??? tool0 ?? uart ?? ? R7F0C015 r7f0c016 ??????????????? ???????????????????? ?? ? 25-1 e1 ??? ? 1. ????? 2. ?????????????? ? ?x??? n ? M 100 ?? e1,a?e2y < "? 2 "?1 v dd tool0 v dd !+ c? ! ? gnd gnd v ss gnd tool0 reset reset reset treset v dd v dd v dd v dd 10k 1k 1k R7F0C015? r7f0c016 v dd emv dd
R7F0C01592esn r7f0c01692esn 25 ??? r01uh0453cj0210 rev.2.10 725 2014.10.31 25.2 ???? id ?????? R7F0C015 r7f0c016 000c3h ??? ? 23 ?? ? 000c4h 000cdh ????? id ? 25-1 ???? id 25.3 ??? ?? R7F0C015 r7f0c016 e1 ???????????? ????????????? (1) ?? ? 25-2 ???????????????????? ???????????????? ? ???? id 000c4h 000cdh 10 ?? id
R7F0C01592esn r7f0c01692esn 25 ??? r01uh0453cj0210 rev.2.10 726 2014.10.31 ? 25-2 ???? ? 1. ???? 2. ???? ram rrm ???? dmm ?? 256 ?? 3. ??????? 4. ?????????? ????? 4 ????? 12 ?? ? ? 1 ?? R7F0C015 01fffh r7f0c016 03fffh (512+8v5 256+8v "?2 ) ?*baa?,x  3 "?1 "?3 -?k?, s*/u!6  yf?ram -? k?,  k0  sfr  aa?,{  (2+8v) aa?,{  (10+8v) ] R7F0C01592esn r7f0c01692esn 26 ? bcd r01uh0453cj0210 rev.2.10 727 2014.10.31 26 ? bcd 26.1 ?? bcd ? - ?? bcd ? - ?? bcd ? - ???? ? a ??????? bcd ? bcdadj ? ?? 26.2 ????? ???? ? bcd ? bcdadj 26.2.1 bcd ? bcdadj ? a ??????? bcd ?? bcdadj bcdadj ????? a ? cy ? ac ??? ? 8 ?? bcdadj ? ?????????? ? 26-1 bcd ? bcdadj ?? ? f00feh ??? r 76543210 bcdadj
R7F0C01592esn r7f0c01692esn 26 ? bcd r01uh0453cj0210 rev.2.10 728 2014.10.31 26.3 ? ??? (1) ? bcd ? bcd ? bcd ?? ?? bcd ? a ? ?? a ??? 2 ? ??? bcd ??? a ?? bcd ? bcdadj ?? a ?? ??? bcdadj ?? ??? ? a ? cy ? ? bcdadj ????? a ? cy ? ac ???????? ????????? bcd ??? a ???? ? reti ?? psw cy ? ac ? ? 1 99+89=188 2 85+15=100 3 80+80=160 ? a ? cy ? ac ? bcdadj ? 99h ? ? ? 22h 1 1 66h 88h 1 0 ? ? a ? cy ? ac ? bcdadj ? 85h ? ? ? 9ah 0 0 66h 00h 1 0 ? ? a ? cy ? ac ? bcdadj ? 80h ? ? ? 00h 1 0 60h 60h 1 0 ?
R7F0C01592esn r7f0c01692esn 26 ? bcd r01uh0453cj0210 rev.2.10 729 2014.10.31 (2) bcd ? bcd ?? bcd ?? ?? bcd ? a ? ?? a ??? 2 ? ?? bcd ?? a ?? bcd ? bcdadj ?? a ?? ??? bcdadj ?? ?? ? a ? cy ? ? bcdadj ????? a ? cy ? ac ???????? ????????? bcd ??? a ???? ? reti ?? psw cy ? ac ? ? 91?52=39 ? a ? cy ? ac ? bcdadj ? 91h ? ? ? 3fh 0 1 06h 39h 0 0 ?
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 730 2014.10.31 27 ???? rl78 ???????????? rl78 family user?s manual software 27.1 27.1.1 ??? ????????? ?? ????????? # ! !! $ $! [ ] es: ? ??????? ?# ? ?! ? 16 ?? ?!! ? 20 ?? ?$ ? 8 ?? ?$! ? 16 ?? ?[ ] ??? ?es: ??? ??????? # ! !! $ $! [ ] es: ?? ???? r rp ? x a c ?? 27-1 ? ? r0 r1 r2 ? 27-1 ??? ? ??? bit0 ? ?0? ? ????? sfr ????? ? 3-5 ??? sfr ? ? ?????? !addr16 ?????? ? 3-6 ? ?? 2nd sfr ? ? ? r rp sfr sfrp x(r0) a(r1) c(r2) b(r3) e(r4) d(r5) l(r6) h(r7) ax(rp0) bc(rp1) de(rp2) hl(rp3) ???? sfr ? fff00h fffffh ???? ? 16 sfr ???? ? fff00h fffffh saddr saddrp ffe20h fff1fh ? ffe20h fff1fh ? ??? ? addr20 addr16 addr5 00000h fffffh ? 0000h ffffh ? 16 ???? ? 0080h 00bfh ? ??? word byte bit 16 ? 8 ? 3 ? rbn rb0 rb3
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 731 2014.10.31 27.1.2 ? ????????? 27-2 ? a a ?8 ? x x ? b b ? c c ? d d ? e e ? h h ? l l ? es es ? cs cs ? ax ax ??16 ? bc bc ? de de ? hl hl ? pc sp ?? psw ?? cy ? ac ? z ? rbs ??? ie ? () () ??????? x h x l x s x h x l 16 ?x h = 8 x l = 8 20 ?x s bit19 16 x h bit15 8 x l bit7 0 ? and ? or a exclusive or ? ? addr5 16 ??? 0080h 00bfh addr16 16 addr20 20 jdisp8 ? 8 jdisp16 ? 16
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 732 2014.10.31 27.1.3 ?? ??????????? 27-3 ?? 27.1.4 prefix ? es: ?? prefix ?????? f0000h fffffh 64k ????? es ?? 00000h fffffh 1m ???? prefix ?????? prefix ? 1 ?? es ????? prefix ?? 1 ???? 27-4 prefix ?? ? ? prefix ??? mov es, a ? es ?? ?? ?? 0 1 r ? ?0? ?1? ?? ??? ? ? 12345 mov !addr16, #byte cfh !addr16 #byte ? mov es:!addr16, #byte 11h cfh !addr16 #byte mov a, [hl] 8bh ? ? ? ? mov a, es:[hl] 11h 8bh ? ? ?
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 733 2014.10.31 27.2 ? 27-5 ? (1/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk 3. r=a ? ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? ? 1 ? 2 zaccy 8 mov r, #byte 2 1 ? r byte psw, #byte 3 3 ? psw byte cs, #byte 3 1 ? cs byte es, #byte 2 1 ? es byte !addr16, #byte 4 1 ? (addr16) byte es:!addr16, #byte 5 2 ? (es, addr16) byte saddr, #byte 3 1 ? (saddr) byte sfr, #byte 3 1 ? sfr byte [de+byte], #byte 3 1 ? (de+byte) byte es:[de+byte], #byte 4 2 ? ((es, de)+byte) byte [hl+byte], #byte 3 1 ? (hl+byte) byte es:[hl+byte], #byte 4 2 ? ((es, hl)+byte) byte [sp+byte], #byte 3 1 ? (sp+byte) byte word[b], #byte 4 1 ? (b+word) byte es:word[b], #byte 5 2 ? ((es, b)+word) byte word[c], #byte 4 1 ? (c+word) byte es:word[c], #byte 5 2 ? ((es, c)+word) byte word[bc], #byte 4 1 ? (bc+word) byte es:word[bc], #byte 5 2 ? ((es, bc)+word) byte a, r ? 3 11?a r r, a ? 3 11?r a a, psw 2 1 ? a psw psw, a 2 3 ? psw a a, cs 2 1 ? a cs cs, a 2 1 ? cs a a, es 2 1 ? a es es, a 2 1 ? es a a, !addr16 314a (addr16) a, es:!addr16 4 2 5 a (es, addr16) !addr16, a 3 1 ? (addr16) a es:!addr16, a 4 2 ? (es, addr16) a a, saddr 2 1 ? a (saddr) saddr, a 2 1 ? (saddr) a
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 734 2014.10.31 27-5 ? (2/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy 8 mov a, sfr 2 1 ? a sfr sfr, a 2 1 ? sfr a a, [de] 1 1 4 a (de) [de], a 1 1 ? (de) a a, es:[de] 2 2 5 a (es, de) es:[de], a 2 2 ? (es, de) a a, [hl] 114a (hl) [hl], a 1 1 ? (hl) a a, es:[hl] 2 2 5 a (es, hl) es:[hl], a 2 2 ? (es, hl) a a, [de+byte] 2 1 4 a (de + byte) [de+byte], a 2 1 ? (de+byte) a a, es:[de+byte] 3 2 5 a ((es, de)+byte) es:[de+byte], a 3 2 ? ((es, de)+byte) a a, [hl+byte] 2 1 4 a (hl+byte) [hl+byte], a 2 1 ? (hl+byte) a a, es:[hl+byte] 3 2 5 a ((es, hl)+byte) es:[hl+byte], a 3 2 ? ((es, hl)+byte) a a, [sp+byte] 2 1 ? a (sp+byte) [sp+byte], a 2 1 ? (sp+byte) a a, word[b] 3 1 4 a (b+word) word[b], a 3 1 ? (b+word) a a, es:word[b] 4 2 5 a ((es, b)+word) es:word[b], a 4 2 ? ((es, b)+word) a a, word[c] 3 1 4 a (c+word) word[c], a 3 1 ? (c+word) a a, e s :word[c] 4 2 5 a ((es, c)+word) es:word[c], a 4 2 ? ((es, c)+word) a a, word[bc] 3 1 4 a (bc+word) word[bc], a 3 1 ? (bc+word) a a, es:word[bc] 4 2 5 a ((es, bc)+word) es:word[bc], a 4 2 ? ((es, bc)+word) a
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 735 2014.10.31 27-5 ? (3/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk 3. r=a ? ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy 8 mov a, [hl+b] 214a (hl+b) [hl+b], a 2 1 ? (hl+b) a a, es:[hl+b] 3 2 5 a ((es, hl)+b) es:[hl+b], a 3 2 ? ((es, hl)+b) a a, [hl+c] 214a (hl+c) [hl+c], a 2 1 ? (hl+c) a a, es:[hl+c] 3 2 5 a ((es, hl)+c) es:[hl+c], a 3 2 ? ((es, hl) + c) a x, !addr16 314x (addr16) x, es:!addr16 4 2 5 x (es, addr16) x, saddr 2 1 ? x (saddr) b, !addr16 314b (addr16) b, es:!addr16 4 2 5 b (es, addr16) b, saddr 2 1 ? b (saddr) c, !addr16 3 1 4 c (addr16) c, es:!addr16 4 2 5 c (es, addr16) c, saddr 2 1 ? c (saddr) es, saddr 3 1 ? es (saddr) xch a, r ? 3 1 (r=x) 2 (r=x ) 1?a ? r a, !addr16 4 2 ? a ? (addr16) a, es:!addr16 5 3 ? a ? (es, addr16) a, saddr 3 2 ? a ? (saddr) a, sfr 3 2 ? a ? sfr a, [de] 2 2 ? a ? (de) a, es:[de] 3 3 ? a ? (es, de) a, [hl] 2 2 ? a ? (hl) a, es:[hl] 3 3 ? a ? (es, hl) a, [de+byte] 3 2 ? a ? (de+byte) a, es:[de+byte] 4 3 ? a ? ((es, de)+byte) a, [hl+byte] 3 2 ? a ? (hl+byte) a, es:[hl+byte] 4 3 ? a ? ((es, hl)+byte)
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 736 2014.10.31 27-5 ? (4/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk 3. rp=ax ? ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy 8 xch a, [hl+b] 2 2 ? a ? (hl+b) a, es:[hl+b] 3 3 ? a ? ((es, hl)+b) a, [hl+c] 2 2 ? a ? (hl+c) a, es:[hl+c] 3 3 ? a ? ((es, hl)+c) oneb a 1 1 ? a 01h x1 1 ? x 01h b1 1 ? b 01h c1 1 ? c 01h !addr16 3 1 ? (addr16) 01h es:!addr16 4 2 ? (es, addr16) 01h saddr 2 1 ? (saddr) 01h clrb a 1 1 ? a 00h x1 1 ? x 00h b1 1 ? b 00h c1 1 ? c 00h !addr16 3 1 ? (addr16) 00h es:!addr16 4 2 ? (es,addr16) 00h saddr 2 1 ? (saddr) 00h movs [hl+byte], x 3 1 ? (hl+byte) x es:[hl+byte], x 4 2 ? (es, hl+byte) x 16 movw rp, #word 3 1 ? rp word saddrp, #word 4 1 ? (saddrp) word sfrp, #word 4 1 ? sfrp word ax, rp ? 3 11?ax rp rp, ax ? 3 11?rp ax ax, !addr16 3 1 4 ax (addr16) !addr16, ax 3 1 ? (addr16) ax ax, es:!addr16 4 2 5 ax (es, addr16) es:!addr16, ax 4 2 ? (es, addr16) ax ax, saddrp 2 1 ? ax (saddrp) saddrp, ax 2 1 ? (saddrp) ax ax, sfrp 2 1 ? ax sfrp sfrp, ax 2 1 ? sfrp ax
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 737 2014.10.31 27-5 ? (5/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy 16 movw ax, [de] 1 1 4 ax (de) [de], ax 1 1 ? (de) ax ax, es:[de] 2 2 5 ax (es, de) es:[de], ax 2 2 ? (es, de) ax ax, [hl] 1 1 4 ax (hl) [hl], ax 1 1 ? (hl) ax ax, es:[hl] 2 2 5 ax (es, hl) es:[hl], ax 2 2 ? (es, hl) ax ax, [de+byte] 2 1 4 ax (de+byte) [de+byte], ax 2 1 ? (de+byte) ax ax, es:[de+byte] 3 2 5 ax ((es, de)+byte) es:[de+byte], ax 3 2 ? ((es, de)+byte) ax ax, [hl+byte] 2 1 4 ax (hl+byte) [hl+byte], ax 2 1 ? (hl+byte) ax ax, es:[hl+byte] 3 2 5 ax ((es, hl)+byte) es:[hl+byte], ax 3 2 ? ((es, hl)+byte) ax ax, [sp+byte] 2 1 ? ax (sp+byte) [sp+byte], ax 2 1 ? (sp+byte) ax ax, word[b] 3 1 4 ax (b+word) word[b], ax 3 1 ? (b+word) ax ax, es:word[b] 4 2 5 ax ((es, b)+word) es:word[b], ax 4 2 ? ((es, b)+word) ax ax, word[c] 3 1 4 ax (c+word) word[c], ax 3 1 ? (c+word) ax ax, es:word[c] 4 2 5 ax ((es, c)+word) es:word[c], ax 4 2 ? ((es, c)+word) ax ax, word[bc] 3 1 4 ax (bc+word) word[bc], ax 3 1 ? (bc+word) ax ax, es:word[bc] 4 2 5 ax ((es, bc)+word) es:word[bc], ax 4 2 ? ((es, bc)+word) ax
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 738 2014.10.31 27-5 ? (6/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk 3. rp=ax ? 4. r=a ? ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy 16 movw bc, !addr16 3 1 4 bc (addr16) bc, es:!addr16 4 2 5 bc (es, addr16) de, !addr16 3 1 4 de (addr16) de, es:!addr16 4 2 5 de (es, addr16) hl, !addr16 3 1 4 hl (addr16) hl, es:!addr16 4 2 5 hl (es, addr16) bc, saddrp 2 1 ? bc (saddrp) de, saddrp 2 1 ? de (saddrp) hl, saddrp 2 1 ? hl (saddrp) xchw ax, rp ? 3 11?ax ? rp onew ax 1 1 ? ax 0001h bc 1 1 ? bc 0001h clrw ax 1 1 ? ax 0000h bc 1 1 ? bc 0000h 8 add a, #byte 2 1 ? a, cy a+byte saddr, #byte 3 2 ? (saddr), cy (saddr)+byte a, r ? 4 21?a, cy a+r r, a 2 1 ? r, cy r+a a, !addr16 3 1 4 a, cy a+(addr16) a, es:!addr16 4 2 5 a, cy a+(es, addr16) a, saddr 2 1 ? a, cy a+(saddr) a, [hl] 1 1 4 a, cy a+(hl) a, es:[hl] 2 2 5 a,cy a+(es, hl) a, [hl+byte] 2 1 4 a, cy a+ (hl+byte) a, es:[hl+byte] 3 2 5 a,cy a+((es, hl)+byte) a, [hl+b] 2 1 4 a, cy a+(hl+b) a, es:[hl+b] 3 2 5 a,cy a+((es, hl)+b) a, [hl+c] 2 1 4 a, cy a+(hl+c) a, es:[hl+c] 3 2 5 a,cy a+((es, hl)+c)
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 739 2014.10.31 27-5 ? (7/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk 3. r=a ? ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy 8 addc a, #byte 2 1 ? a, cy a+byte+cy saddr, #byte 3 2 ? (saddr), cy (saddr)+byte+cy a, r ? 3 21?a, cy a+r+cy r, a 2 1 ? r, cy r+a+cy a, !addr16 3 1 4 a, cy a+(addr16)+cy a, es:!addr16 4 2 5 a, cy a+(es, addr16)+cy a, saddr 2 1 ? a, cy a+(saddr)+cy a, [hl] 1 1 4 a, cy a+(hl)+cy a, es:[hl] 2 2 5 a,cy a+(es, hl)+cy a, [hl+byte] 2 1 4 a, cy a+(hl+byte)+cy a, es:[hl+byte] 3 2 5 a,cy a+((es, hl)+byte)+cy a, [hl+b] 2 1 4 a, cy a+(hl+b) +cy a, es:[hl+b] 3 2 5 a,cy a+((es, hl)+b)+cy a, [hl+c] 2 1 4 a, cy a+(hl+c)+cy a, es:[hl+c] 3 2 5 a,cy a+((es, hl)+c)+cy sub a, #byte 2 1 ? a, cy a?byte saddr, #byte 3 2 ? (saddr), cy (saddr)?byte a, r ? 3 21?a, cy a?r r, a 2 1 ? r, cy r?a a, !addr16 3 1 4 a, cy a?(addr16) a, es:!addr16 4 2 5 a, cy a?(es, addr16) a, saddr 2 1 ? a, cy a?(saddr) a, [hl] 1 1 4 a, cy a?(hl) a, es:[hl] 2 2 5 a,cy a?(es, hl) a, [hl+byte] 2 1 4 a, cy a?(hl+byte) a, es:[hl+byte] 3 2 5 a,cy a?((es, hl)+byte) a, [hl+b] 2 1 4 a, cy a?(hl+b) a, es:[hl+b] 3 2 5 a,cy a?((es, hl)+b) a, [hl+c] 2 1 4 a, cy a?(hl+c) a, es:[hl+c] 3 2 5 a,cy a?((es, hl)+c)
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 740 2014.10.31 27-5 ? (8/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk 3. r=a ? ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy 8 subc a, #byte 2 1 ? a, cy a?byte?cy saddr, #byte 3 2 ? (saddr), cy (saddr)?byte?cy a, r ? 3 21?a, cy a?r?cy r, a 2 1 ? r, cy r?a?cy a, !addr16 3 1 4 a, cy a?(addr16)?cy a, es:!addr16 4 2 5 a, cy a?(es, addr16)?cy a, saddr 2 1 ? a, cy a?(saddr)?cy a, [hl] 1 1 4 a, cy a?(hl)?cy a, es:[hl] 2 2 5 a,cy a?(es, hl)?cy a, [hl+byte] 2 1 4 a, cy a?(hl+byte)?cy a, es:[hl+byte] 3 2 5 a,cy a?((es, hl)+byte)?cy a, [hl+b] 2 1 4 a, cy a?(hl+b)?cy a, es:[hl+b] 3 2 5 a,cy a?((es, hl)+b)?cy a, [hl+c] 2 1 4 a, cy a?(hl+c)?cy a, es:[hl+c] 3 2 5 a, cy a?((es:hl)+c)?cy and a, #byte 2 1 ? a a byte saddr, #byte 3 2 ? (saddr) (saddr) byte a, r ? 3 21?a a r r, a 2 1 ? r r a a, !addr16 314a a (addr16) a, es:!addr16 4 2 5 a a (es:addr16) a, saddr 2 1 ? a a (saddr) a, [hl] 114a a (hl) a, es:[hl] 2 2 5 a a (es:hl) a, [hl+byte] 2 1 4 a a (hl+byte) a, es:[hl+byte] 3 2 5 a a ((es:hl)+byte) a, [hl+b] 2 1 4 a a (hl+b) a, es:[hl+b] 3 2 5 a a ((es:hl)+b) a, [hl+c] 214a a (hl+c) a, es:[hl+c] 3 2 5 a a ((es:hl)+c)
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 741 2014.10.31 27-5 ? (9/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk 3. r=a ? ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy 8 or a, #byte 2 1 ? a a byte saddr, #byte 3 2 ? (saddr) (saddr) byte a, r ? 3 21?a a r r, a 2 1 ? r r a a, !addr16 314a a (addr16) a, es:!addr16 4 2 5 a a (es:addr16) a, saddr 2 1 ? a a (saddr) a, [hl] 114a a (hl) a, es:[hl] 2 2 5 a a (es:hl) a, [hl+byte] 2 1 4 a a (hl+byte) a, es:[hl+byte] 3 2 5 a a ((es:hl)+byte) a, [hl+b] 2 1 4 a a (hl+b) a, es:[hl+b] 3 2 5 a a ((es:hl)+b) a, [hl+c] 214a a (hl+c) a, es:[hl+c] 3 2 5 a a ((es:hl)+c) xor a, #byte 2 1 ? a a byte saddr, #byte 3 2 ? (saddr) (saddr) byte a, r ? 3 21?a a r r, a 2 1 ? r r a a, !addr16 314a a (addr16) a, es:!addr16 4 2 5 a a (es:addr16) a, saddr 2 1 ? a a (saddr) a, [hl] 114a a (hl) a, es:[hl] 2 2 5 a a (es:hl) a, [hl+byte] 2 1 4 a a (hl+byte) a, es:[hl+byte] 3 2 5 a a ((es:hl)+byte) a, [hl+b] 2 1 4 a a (hl+b) a, es:[hl+b] 3 2 5 a a ((es:hl)+b) a, [hl+c] 214a a (hl+c) a, es:[hl+c] 3 2 5 a a ((es:hl)+c)
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 742 2014.10.31 27-5 ? (10/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk 3. r=a ? ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy 8 cmp a, #byte 2 1 ? a?byte !addr16, #byte 4 1 4 (addr16)?byte es:!addr16, #byte 5 2 5 (es:addr16)?byte saddr, #byte 3 1 ? (saddr)?byte a, r ? 3 21?a?r r, a 2 1 ? r?a a, !addr16 3 1 4 a?(addr16) a, es:!addr16 4 2 5 a?(es:addr16) a, saddr 2 1 ? a?(saddr) a, [hl] 1 1 4 a?(hl) a, es:[hl] 2 2 5 a?(es:hl) a, [hl+byte] 2 1 4 a?(hl+byte) a, es:[hl+byte] 3 2 5 a?((es:hl)+byte) a, [hl+b] 2 1 4 a?(hl+b) a, es:[hl+b] 3 2 5 a?((es:hl)+b) a, [hl+c] 2 1 4 a?(hl+c) a, es:[hl+c] 3 2 5 a?((es:hl)+c) cmp0 a 1 1 ? a?00h 00 x 1 1 ? x?00h 00 b 1 1 ? b?00h 00 c1 1 ? c ? 0 0 h 00 !addr16 3 1 4 (addr16)?00h 00 es:!addr16 4 2 5 (es:addr16)?00h 00 saddr 2 1 ? (saddr)?00h 00 cmps x, [hl+byte] 3 1 4 x?(hl+byte) x, es:[hl+byte] 4 2 5 x?((es:hl)+byte)
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 743 2014.10.31 27-5 ? (11/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy 16 addw ax, #word 3 1 ? ax, cy ax+word ax, ax 1 1 ? ax, cy ax+ax ax, bc 1 1 ? ax, cy ax+bc ax, de 1 1 ? ax, cy ax+de ax, hl 1 1 ? ax, cy ax+hl ax, !addr16 3 1 4 ax, cy ax+(addr16) ax, es:!addr16 4 2 5 ax, cy ax+(es:addr16) ax, saddrp 2 1 ? ax, cy ax+(saddrp) ax, [hl+byte] 3 1 4 ax, cy ax+(hl+byte) ax, es: [hl+byte] 4 2 5 ax, cy ax+((es:hl)+byte) subw ax, #word 3 1 ? ax, cy ax?word ax, bc 1 1 ? ax, cy ax?bc ax, de 1 1 ? ax, cy ax?de ax, hl 1 1 ? ax, cy ax?hl ax, !addr16 3 1 4 ax, cy ax?(addr16) ax, es:!addr16 4 2 5 ax, cy ax?(es:addr16) ax, saddrp 2 1 ? ax, cy ax?(saddrp) ax, [hl+byte] 3 1 4 ax, cy ax?(hl+byte) ax, es: [hl+byte] 4 2 5 ax, cy ax?((es:hl)+byte) cmpw ax, #word 3 1 ? ax?word ax, bc 1 1 ? ax?bc ax, de 1 1 ? ax?de ax, hl 1 1 ? ax?hl ax, !addr16 3 1 4 ax?(addr16) ax, es:!addr16 4 2 5 ax?(es:addr16) ax, saddrp 2 1 ? ax?(saddrp) ax, [hl+byte] 3 1 4 ax?(hl+byte) ax, es: [hl+byte] 4 2 5 ax?((es:hl)+byte)
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 744 2014.10.31 27-5 ? (12/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk ? 1. ?? rom ? ram ???? 2 +3 ?? 2. macr ???? macrh macrl ? ? ? ? ? ? 1 ? 2 zaccy mulu x 1 1 ? ax a x mulhu 3 2 ? bcax ax bc ?? mulh 3 2 ? bcax ax bc ? divhu 3 9 ? ax ? de ax de ?? divwu 3 17 ? bcax ? hlde bcax hlde ?? machu 3 3 ? macr macr+ax bc ?? mach 3 3 ? macr macr+ax bc ?
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 745 2014.10.31 27-5 ? (13/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk ? 1. ?? rom ? ram ???? 2 +3 ?? 2. cnt ? ? ? ? ? ? ? 1 ? 2 zaccy / inc r 1 1 ? r r+1 !addr16 3 2 ? (addr16) (addr16)+1 es:!addr16 4 3 ? (es, addr16) (es, addr16)+1 saddr 2 2 ? (saddr) (saddr)+1 [hl+byte] 3 2 ? (hl+byte) (hl+byte)+1 es: [hl+byte] 4 3 ? ((es:hl)+byte) ((es:hl)+byte)+1 dec r 1 1 ? r r?1 !addr16 3 2 ? (addr16) (addr16)?1 es:!addr16 4 3 ? (es, addr16) (es, addr16)?1 saddr 2 2 ? (saddr) (saddr)?1 [hl+byte] 3 2 ? (hl+byte) (hl+byte)?1 es: [hl+byte] 4 3 ? ((es:hl)+byte) ((es:hl)+byte)?1 incw rp 1 1 ? rp rp+1 !addr16 3 2 ? (addr16) (addr16)+1 es:!addr16 4 3 ? (es, addr16) (es, addr16)+1 saddrp 2 2 ? (saddrp) (saddrp)+1 [hl+byte] 3 2 ? (hl+byte) (hl+byte)+1 es: [hl+byte] 4 3 ? ((es:hl)+byte) ((es:hl)+byte)+1 decw rp 1 1 ? rp rp?1 !addr16 3 2 ? (addr16) (addr16)?1 es:!addr16 4 3 ? (es, addr16) (es, addr16)?1 saddrp 2 2 ? (saddrp) (saddrp)?1 [hl+byte] 3 2 ? (hl+byte) (hl+byte)?1 es: [hl+byte] 4 3 ? ((es:hl)+byte) ((es:hl)+byte)?1 shr a, cnt 2 1 ? (cy a 0 , a m?1 a m, a 7 0) cnt shrw ax, cnt 2 1 ? (cy ax 0 , ax m?1 ax m , ax 15 0) cnt shl a, cnt 2 1 ? (cy a 7 , a m a m?1 , a 0 0) cnt b, cnt 2 1 ? (cy b 7 , b m b m?1 , b 0 0) cnt c, cnt 2 1 ? (cy c 7 , c m c m?1 , c 0 0) cnt shlw ax, cnt 2 1 ? (cy ax 15 , ax m ax m?1 , ax 0 0) cnt bc, cnt 2 1 ? (cy bc 15 , bc m bc m?1 , bc 0 0) cnt sar a, cnt 2 1 ? (cy a 0 , a m?1 a m , a 7 a 7 ) cnt sarw ax, cnt 2 1 ? (cy ax 0 , ax m?1 ax m , ax 15 ax 15 ) cnt
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 746 2014.10.31 27-5 ? (14/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy ? ror a, 1 2 1 ? (cy, a 7 a 0 , a m?1 a m ) 1 rol a, 1 2 1 ? (cy, a 0 a 7 , a m+1 a m ) 1 rorc a, 1 2 1 ? (cy a 0 , a 7 cy, a m?1 a m ) 1 rolc a, 1 2 1 ? (cy a 7 , a 0 cy, a m+1 a m ) 1 rolwc ax,1 2 1 ? (cy ax 15 , ax 0 cy, ax m+1 ax m ) 1 bc,1 2 1 ? (cy bc 15 , bc 0 cy, bc m+1 bc m ) 1 mov1 cy, a.bit 2 1 ? cy a.bit a.bit, cy 2 1 ? a.bit cy cy, psw.bit 3 1 ? cy psw.bit psw.bit, cy 3 4 ? psw.bit cy cy, saddr.bit 3 1 ? cy (saddr).bit saddr.bit, cy 3 2 ? (saddr).bit cy cy, sfr.bit 3 1 ? cy sfr.bit sfr.bit, cy 3 2 ? sfr.bit cy cy,[hl].bit 2 1 4 cy (hl).bit [hl].bit, cy 2 2 ? (hl).bit cy cy, es:[hl].bit 3 2 5 cy (es, hl).bit es:[hl].bit, cy 3 3 ? (es, hl).bit cy and1 cy, a.bit 2 1 ? cy cy a.bit cy, psw.bit 3 1 ? cy cy psw.bit cy, saddr.bit 3 1 ? cy cy (saddr).bit cy, sfr.bit 3 1 ? cy cy sfr.bit cy,[hl].bit 2 1 4 cy cy (hl).bit cy, es:[hl].bit 3 2 5 cy cy (es, hl).bit or1 cy, a.bit 2 1 ? cy cy a.bit cy, psw.bit 3 1 ? cy cy psw.bit cy, saddr.bit 3 1 ? cy cy (saddr).bit cy , sfr .bit 3 1 ? cy cy sfr.bit cy, [hl].bit 2 1 4 cy cy (hl).bit cy, es:[hl].bit 3 2 5 cy cy (es, hl).bit
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 747 2014.10.31 27-5 ? (15/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy xor1 cy, a.bit 2 1 ? cy cy a.bit cy, psw.bit 3 1 ? cy cy psw.bit cy, saddr.bit 3 1 ? cy cy (saddr).bit cy, sfr.bit 3 1 ? cy cy sfr.bit cy, [hl].bit 2 1 4 cy cy (hl).bit cy, es:[hl].bit 3 2 5 cy cy (es, hl).bit set1 a.bit 2 1 ? a.bit 1 psw.bit 3 4 ? psw.bit 1 !addr16.bit 4 2 ? (addr16).bit 1 es:!addr16.bit 5 3 ? (es, addr16).bit 1 saddr.bit 3 2 ? (saddr).bit 1 sfr.bit 3 2 ? sfr.bit 1 [hl].bit 2 2 ? (hl).bit 1 es:[hl].bit 3 3 ? (es, hl).bit 1 clr1 a.bit 2 1 ? a.bit 0 psw.bit 3 4 ? psw.bit 0 !addr16.bit 4 2 ? (addr16).bit 0 es:!addr16.bit 5 3 ? (es, addr16).bit 0 saddr.bit 3 2 ? (saddr.bit) 0 sfr.bit 3 2 ? sfr.bit 0 [hl].bit 2 2 ? (hl).bit 0 es:[hl].bit 3 3 ? (es, hl).bit 0 set1 cy 2 1 ? cy 11 clr1 cy 2 1 ? cy 00 not1 cy 2 1 ? cy cy
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 748 2014.10.31 27-5 ? (16/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy / call rp 2 3 ? (sp?2) (pc+2) s (sp?3) (pc+2) h (sp?4) (pc+2) l pc cs, rp sp sp?4 $!addr20 3 3 ? (sp?2) (pc+3) s (sp?3) (pc+3) h (sp?4) (pc+3) l pc pc+3+jdisp16 sp sp?4 !addr16 3 3 ? (sp?2) (pc+3) s (sp?3) (pc+3) h (sp?4) (pc+3) l pc 0000, addr16 sp sp?4 !!addr20 4 3 ? (sp?2) (pc+4) s (sp?3) (pc+4) h (sp?4) (pc+4) l pc addr20 sp sp?4 callt [addr5] 2 5 ? (sp?2) (pc+2) s (sp?3) (pc+2) h (sp?4) (pc+2) l pc s 0000 pc h (0000, addr5+1) pc l (0000, addr5) sp sp?4 brk ? 2 5 ? (sp?1) psw (sp?2) (pc+2) s (sp?3) (pc+2) h (sp?4) (pc+2) l pc s 0000 pc h (0007fh) pc l (0007eh) sp sp?4 ie 0 ret ? 1 6 ? pc l (sp) pc h (sp+1) pc s (sp+2) sp sp+4 reti ? 2 6 ? pc l (sp) pc h (sp+1) pc s (sp+2) psw (sp+3) sp sp+4 rrr retb ? 2 6 ? pc l (sp) pc h (sp+1) pc s (sp+2) psw (sp+3) sp sp+4 rrr
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 749 2014.10.31 27-5 ? (17/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk 3. ? ? ? / ? ? ? ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy ? push psw 2 1 ? (sp?1) psw (sp?2) 00h sp sp?2 rp 1 1 ? (sp?1) rp h (sp?2) rp l sp sp?2 pop psw 2 3 ? psw (sp+1) sp sp+2 rrr rp 1 1 ? rp l (sp) rp h (sp+1) sp sp+2 movw sp, #word 4 1 ? sp word sp, ax 2 1 ? sp ax ax, sp 2 1 ? ax sp hl, sp 3 1 ? hl sp bc, sp 3 1 ? bc sp de, sp 3 1 ? de sp addw sp, #byte 2 1 ? sp sp+byte subw sp, #byte 2 1 ? sp sp?byte ? br ax 2 3 ? pc cs, ax $addr20 2 3 ? pc pc+2+jdisp8 $!addr20 3 3 ? pc pc+3+jdisp16 !addr16 3 3 ? pc 0000, addr16 !!addr20 4 3 ? pc addr20 ? bc $addr20 2 2/4 ? 3 ?pc pc+2+jdisp8 if cy=1 bnc $addr20 2 2/4 ? 3 ?pc pc+2+jdisp8 if cy=0 bz $addr20 2 2/4 ? 3 ?pc pc+2+jdisp8 if z=1 bnz $addr20 2 2/4 ? 3 ?pc pc+2+jdisp8 if z=0 bh $addr20 3 2/4 ? 3 ?pc pc+3+jdisp8 if (z cy)=0 bnh $addr20 3 2/4 ? 3 ?pc pc+3+jdisp8 if (z cy)=1 bt saddr.bit, $addr20 4 3/5 ? 3 ?pc pc+4+jdisp8 if (saddr).bit=1 sfr.bit, $addr20 4 3/5 ? 3 ?pc pc+4+jdisp8 if sfr.bit=1 a.bit, $addr20 3 3/5 ? 3 ?pc pc+3+disp8 if a.bit=1 psw.bit, $addr20 4 3/5 ? 3 ?pc pc+4+jdisp8 if psw.bit=1 [hl].bit, $addr20 3 3/5 ? 3 6/7 pc pc+3+jdisp8 if (hl).bit=1 es:[hl].bit, $addr20 4 4/6 ? 3 7/8 pc pc+4+jdisp8 if (es, hl).bit=1
R7F0C01592esn r7f0c01692esn 27 ???? r01uh0453cj0210 rev.2.10 750 2014.10.31 27-5 ? (18/18) ? 1. ??? ram sfr ? sfr ???? cpu ? f clk 2. ??? cpu ? f clk 3. ? ? ? / ? ? ? 4. n ?? n=0 3 ? ?? rom ? ram ???? 2 +3 ?? ? ? ? ? ? ? 1 ? 2 zaccy ? bf saddr.bit, $addr20 4 3/5 ? 3 ?pc pc+4+jdisp8 if (saddr).bit=0 sfr.bit, $addr20 4 3/5 ? 3 ?pc pc+4+jdisp8 if sfr.bit=0 a.bit, $addr20 3 3/5 ? 3 ?pc pc+3+jdisp8 if a.bit=0 psw.bit, $addr20 4 3/5 ? 3 ?pc pc+4+jdisp8 if psw.bit=0 [hl].bit, $addr20 3 3/5 ? 3 6/7 pc pc+3+jdisp8 if (hl).bit=0 es:[hl].bit, $addr20 4 4/6 ? 3 7/8 pc pc+4+jdisp8 if (es, hl).bit=0 btclr saddr.bit, $addr20 4 3/5 ? 3 ?pc pc+4+jdisp8 if (saddr).bit=1 then reset (saddr).bit sfr.bit, $addr20 4 3/5 ? 3 ?pc pc+4+jdisp8 if sfr.bit=1 then reset sfr.bit a.bit, $addr20 3 3/5 ? 3 ?pc pc+3+jdisp8 if a.bit=1 then reset a.bit psw.bit, $addr20 4 3/5 ? 3 ?pc pc+4+jdisp8 if psw.bit=1 then reset psw.bit [hl].bit, $addr20 3 3/5 ? 3 ?pc pc+3+jdisp8 if (hl).bit=1 then reset (hl).bit es:[hl].bit, $addr20 4 4/6 ? 3 ?pc pc+4+jdisp8 if (es, hl).bit=1 then reset (es, hl).bit ? skc ? 2 1 ? next instruction skip if cy=1 sknc ? 2 1 ? next instruction skip if cy=0 skz ? 2 1 ? next instruction skip if z=1 sknz ? 2 1 ? next instruction skip if z=0 skh ? 2 1 ? next instruction skip if (z cy)=0 sknh ? 2 1 ? next instruction skip if (z cy)=1 c p u sel ? 4 rbn 2 1 ? rbs[1:0] n nop ? 1 1 ? no operation ei ? 3 4 ? ie 1 (enable interrupt) di ? 3 4 ? ie 0 (disable interrupt) halt ? 2 3 ? set halt mode stop ? 2 3 ? set stop mode
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 751 2014.10.31 28 ? 1. R7F0C015 r7f0c016 ??????????????? ???????????????????? ?? 2. ??? 28.1 ?? 28.1.1 ?? ? 2.1 ?? ? 28.1.2 ? ? 2.2 ?? ?
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 752 2014.10.31 28.2 ?? ? 1. ? 0.47 1 f regc v ss ?? regc ?????? ?? 2. 6.5v 3. a/d ??? av ref(+) +0.3 ? ??? 1 ?????????????? ??????????? ? 1. ????????? 2. av ref(+) a/d ? + ?? 3. v ss ??? ? ?? ?? v dd ?0.5 +6.5 v regc ? ? v iregc regc ?0.3 +2.8 ?0.3 v dd +0.3 ? 1 v ? v i1 p00 p01 p10 p16 p20 p23 p30 p31 p40 p50 p51 p60 p61 p120 p122 p137 exclk reset ?0.3 v dd +0.3 ? 2 v ? v o1 p00 p01 p10 p16 p20 p23 p30 p31 p40 p50 p51 p60 p61 p120 ?0.3 v dd +0.3 ? 2 v ?? v ai1 ani0 ani3 ani16 ani17 ani19 ?0.3 v dd +0.3 ? 2 3 ?0.3 av ref(+) +0.3 v ?? i oh1 1 p00 p01 p10 p16 p30 p31 p40 p50 p51 p60 p61 p120 p122 p137 ?40 ma ?? ?170ma p00 p01 p40 p120 p122 p137 ?70 ma p10 p16 p30 p31 p50 p51 p60 p61 ?100 ma i oh2 1 p20 p23 ?0.5 ma ?? ?2 ma ?? i ol1 1 p00 p01 p10 p16 p30 p31 p40 p50 p51 p60 p61 p120 p122 p137 40 ma ?? 170ma p00 p01 p40 p120 p122 p137 70 ma p10 p16 p30 p31 p50 p51 p60 p61 100 ma i ol2 1 p20 p23 1ma ?? 5m a ? t a ?? ?40 +85 c ? ? t stg ?65 +150 c
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 753 2014.10.31 28.3 ?? 28.3.1 xt1 ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? ????????? ac ? ?????? ? ???? cpu ??????? ostc ? x1 ?????????? ostc ? ???? osts ??? ? ? x1 ??? ?5.4 ????? ? 28.3.2 ? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? 1. ??? 000c2h bit0 4 hocodiv ? bit0 2 ???? 2. ???????? ac ? ? min. typ. max. x1 ?? f x ? ? / 2.7v v dd 5.5v 1.0 20.0 mhz min. typ. max. ?? ? 1 2 f ih 12 4m h z f hoco 14 8 ??? t a =0 +85 c ?2 +2 % ?40 t a 0 c ?5 +5 ?? f il 15 khz ??? ?15 +15 %
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 754 2014.10.31 28.4 dc 28.4.1 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? 1. ?? v dd ???? 2. ????? 3. ? ??? 70% ? ? ???? 70% ??? ???? n% ? ??? = (i oh 0.7) / (n 0.01) ? i oh = ?10.0ma n =80% ??? = (?10.0 0.7) / (80 0.01) ?8.7ma ??????????? ? n ??? p00 p10 p15 p30 p50 p51 ?? ? ????????? ? min. typ. max. ?? ? 1 i oh1 p00 p01 p10 p16 p30 p31 p40 p50 p51 p60 p61 p120 1 2.7v v dd 5.5v ?10.0 ? 2 ma p00 p01 p40 p120 ? ??? 70% ? ? 3 4.0v v dd 5.5v ?55.0 ma 2.7v v dd 4.0v ?10.0 ma p10 p16 p30 p31 p50 p51 p60 p61 ? ??? 70% ? ? 3 4.0v v dd 5.5v ?80.0 ma 2.7v v dd 4.0v ?19.0 ma ??? ??? 70% ? ? 3 2.7v v dd 5.5v ?135.0 ma i oh2 p20 p23 1 2.7v v dd 5.5v ?0.1 ? 2 ma ??? ??? 70% ? ? 3 2.7v v dd 5.5v ?1.5 ma
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 755 2014.10.31 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? 1. ?? v ss ???? 2. ????? 3. ? ??? 70% ? ? ???? 70% ??? ???? n% ? ??? = (i ol 0.7) / (n 0.01) ? i ol = 10.0ma n = 80% ??? = (10.0 0.7) / (80 0.01) 8.7ma ??????????? 4. ??????????? 1 ? ????????? ? min. typ. max. ?? ? 1 i ol1 p15 p16 p30 p31 p50 p51 p60 p61 1 ? 4 t a =25 c v dd =5.0v 40 ma p00 p01 p10 p14 p40 p120 1 20.0 ? 2 ma p00 p01 p40 p120 ? ??? 70% ? ? 3 4.0v v dd 5.5v 70.0 ma 2.7v v dd 4.0v 15.0 ma p10 p16 p30 p31 p50 p51 p60 p61 ? ??? 70% ? ? 3 4.0v v dd 5.5v 80.0 ma 2.7v v dd 4.0v 35.0 ma ??? ??? 70% ? ? 3 150.0 ma i ol2 p20 p23 1 0.4 ? 2 ma ??? ??? 70% ? ? 3 2.7v v dd 5.5 v 5.0 ma
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 756 2014.10.31 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? ? n ??? p00 p10 p15 p30 p50 p51 v ih ? max. ?? v dd ? ????????? ? min. typ. max. ??? v ih1 p00 p01 p10 p16 p30 p31 p40 p50 p51 p60 p61 p120 p122 p137 ?? 0.8v dd v dd v v ih2 p01 p10 p15 p16 p30 p31 p50 ttl ? 4.0v v dd 5.5v 2.2 v dd v ttl ? 3.3v v dd 4.0v 2.0 v dd v ttl ? 2.7v v dd 3.3v 1.50 v dd v v ih3 p20 p23 0.7v dd v dd v v ih4 exclk reset 0.8v dd v dd v ??? v il1 p00 p01 p10 p16 p30 p31 p40 p50 p51 p60 p61 p120 p122 p137 ?? 00 . 2 v dd v v il2 p01 p10 p15 p16 p30 p31 p50 ttl ? 4.0v v dd 5.5v 00 . 8v ttl ? 3.3v v dd 4.0v 00 . 5v ttl ? 2.7v v dd 3.3v 00 . 3 2v v il3 p20 p23 00.3v dd v v il4 exclk reset 00 . 2 v dd v
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 757 2014.10.31 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? ??????????? 1 ? n ??? p00 p10 p15 p30 p50 p51 ?? ? ????????? ? min. typ. max. ??? v oh1 p00 p01 p10 p16 p30 p31 p40 p50 p51 p60 p61 p120 4.0v v dd 5.5v i oh1 =?10.0ma v dd ?1.5 v 4.0v v dd 5.5v i oh1 =?3.0ma v dd ?0.7 v 2.7v v dd 5.5v i oh1 =?2.0ma v dd ?0.6 v 2.7v v dd 5.5v i oh1 =?1.0ma v dd ?0.5 v v oh2 p20 p23 2.7v v dd 5.5v i oh2 =?100 a v dd ?0.5 v ??? v ol1 p15 p16 p30 p31 p50 p51 p60 p61 ? t a =25 c v dd =5.0v i ol1 =40ma 1.3 v p00 p01 p10 p16 p30 p31 p40 p50 p51 p60 p61 p120 4.0v v dd 5.5v i ol1 =20.0ma 1.3 v 4.0v v dd 5.5v i ol1 =8.5ma 0.7 v 2.7v v dd 5.5v i ol1 =3.0ma 0.6 v 2.7v v dd 5.5v i ol1 =1.5ma 0.4 v 2.7v v dd 5.5v i ol1 =0.3ma 0.4 v v ol2 p20 p23 2.7v v dd 5.5v i ol2 =400 a 0.4 v
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 758 2014.10.31 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? ????????? ? min. typ. max. ??? i lih1 p00 p01 p10 p16 p20 p23 p30 p31 p40 p50 p51 p60 p61 p120 p137 reset v i =v dd 1 a i lih2 p121 p122 x1 x2 exclk v i =v dd ?? ??? 1 a ? 10 a ??? i lil1 p00 p01 p10 p16 p20 p23 p30 p31 p40 p50 p51 p60 p61 p120 p137 reset v i =v ss ?1 a i lil2 p121 p122 x1 x2 exclk v i =v ss ?? ??? ?1 a ? ?10 a ? r u p00 p01 p10 p16 p30 p31 p40 p50 p51 p60 p61 p120 v i =v ss ?? 10 20 100 k
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 759 2014.10.31 28.4.2 ? (1) 16kb rom ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v (1/2) ? 1. v dd ???? v dd v ss ??? max. ? ? a/d ?????? lvd i/o ??? ? 2. ?????? 3. ???????? 4. ??? cpu ????? hs ?? v dd =2.7v 5.5v@1mhz 24mhz ls ?? v dd =2.7v 5.5v@1mhz 8mhz ? 1. f mx ???? x1 ????????? 2. f hoco ??? 48mhz 3. f ih ??? 24mhz 4. typ. ?? t a =25 c ? min. typ. max. ? ? 1 i dd1 ?? hs ?? ? 3 4 f hoco =48mhz f ih =24mhz v dd =5.0v 2.0 ma v dd =3.0v 2.0 hs ?? ? 3 4 f hoco =48mhz f ih =24mhz ? v dd =5.0v 4.1 6.9 ma v dd =3.0v 4.1 6.9 f hoco =24mhz f ih =24mhz ? v dd =5.0v 3.8 6.3 v dd =3.0v 3.8 6.3 f hoco =16mhz f ih =16mhz ? v dd =5.0v 2.8 4.6 v dd =3.0v 2.8 4.6 ls ?? ? 3 4 f ih =8mhz ? v dd =3.0v 1.3 2.0 ma hs ?? ? 2 4 f mx =20mhz v dd =5.0v ? ? 3.3 5.3 ma 3.5 5.5 f mx =20mhz v dd =3.0v ? ? 3.3 5.3 3.5 5.5 f mx =10mhz v dd =5.0v ? ? 2.0 3.1 2.1 3.2 f mx =10mhz v dd =3.0v ? ? 2.0 3.1 2.1 3.2 ls ?? ? 2 4 f mx =8mhz v dd =3.0v ? ? 1.2 1.9 ma 1.2 2.0
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 760 2014.10.31 (1) 16kb rom ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v (2/2) ? 1. v dd ???? v dd v ss ??? max. ? ? a/d ?????? lvd i/o ??? ? 2. ? halt ? 3. ?????? 4. ???????? 5. ??????????????? max. ?? 6. ??? cpu ????? hs ?? v dd =2.7v 5.5v@1mhz 24mhz ls ?? v dd =2.7v 5.5v@1mhz 8mhz ? 1. f mx ???? x1 ????????? 2. f hoco ??? 48mhz 3. f ih ??? 24mhz 4. typ. ?? t a =25 c ? min. typ. max. ? ? 1 i dd2 ? 2 halt ?? hs ?? ? 4 6 f hoco =48mhz f ih =24mhz v dd =5.0v 0.62 2.40 ma v dd =3.0v 0.62 2.40 f hoco =24mhz f ih =24mhz v dd =5.0v 0.44 1.83 v dd =3.0v 0.44 1.83 f hoco =16mhz f ih =16mhz v dd =5.0v 0.40 1.38 v dd =3.0v 0.40 1.38 ls ?? ? 4 6 f ih =8mhz v dd =3.0v 260 710 a hs ?? ? 3 6 f mx =20mhz v dd =5.0v ? 0.28 1.55 ma 0.53 1.74 f mx =20mhz v dd =3.0v ? 0.28 1.55 0.49 1.74 f mx =10mhz v dd =5.0v ? 0.19 0.86 0.30 0.93 f mx =10mhz v dd =3.0v ? 0.19 0.86 0.30 0.93 ls ?? ? 3 6 f mx =8mhz v dd =3.0v ? 95 550 a 145 590 i dd3 stop ?? ? 5 t a =?40 c0 . 1 8 0 . 5 1 a t a =+25 c0 . 2 4 0 . 5 1 t a =+50 c0 . 2 9 1 . 1 0 t a =+70 c0 . 4 1 1 . 9 0 t a =+85 c0 . 9 0 3 . 3 0
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 761 2014.10.31 (2) ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? 1. ?????????? 2. ???? ?? stop ????? ?? i dd1 i dd2 i dd3 i wdt ? 3. ? a/d ???? halt ?? a/d ???? i dd1 i dd2 i adc ? 4. ????? halt ?????? i dd1 i dd2 i cmp ? 5. ???? ?? halt ??????? i dd1 i dd2 i pga ? 6. ? lvd ??? halt ?? stop ?? lvd ??? i dd1 i dd2 i dd3 i lvi ? 7. snooze ????? ?17.3.3 snooze ?? ? 8. ? 12 ?? ? xt1 ????? halt ?? 12 ?? R7F0C015 r7f0c016 ??? i dd1 i dd2 i it ?? ? ?? i fil ? 1. f il ??? 2. f clk cpu/ ??? 3. typ. ?? t a =25 c ? min. typ. max. 12 ? i it ? 1 8 0.20 a ?? i wdt ? 1 2 f il =15khz 0.22 a a/d ? i adc ? 3 ?? ??? av refp =v dd =5.0v 1.3 1.7 ma ???? av refp =v dd =3.0v 0.5 0.7 ma a/d ? ?? i adref 75 a ?? i tmps 75 a ? i cmp ? 4 ??? ?? 45.0 65.0 a ???? 0.0 0.1 ? ? i pga ? 5 ??? 240.0 340.0 a ????? 0.0 0.1 lvd i lvi ? 6 0.08 a snooze i snoz adc ??? ? 7 0.50 0.60 ma ? ???? av refp =v dd =3.0v 1.20 1.44 ma csi/uart 0.70 0.84 ma
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 762 2014.10.31 28.5 ac 28.5.1 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? f mck ???? ????? mn tmrmn cksmn ??? m ?? m=0 n ?? n=0 3 ? min. typ. max. ? ???? t cy ??? f main hs ?? 2.7v v dd 5.5v 0.04167 1 s ls ?? 2.7v v dd 5.5v 0.125 1 s ?? hs ?? 2.7v v dd 5.5v 0.04167 1 s ls ?? 2.7v v dd 5.5v 0.125 1 s ????? f ex 2.7v v dd 5.5v 1.0 20.0 mhz ???? ??? t exh t exl 2.7v v dd 5.5v 24 ns ti00 ti01 ti03 ??? t tih t til 1/f mck +10 ns ? rj t c trjio 2.7v v dd 5.5v 100 ns ? rj ?? ? t wh t wl trjio 2.7v v dd 5.5v 40 ns to00 to01 to03 trjio0 trjo trdioa1 trdiob0/1 trdioc0/1 trdiod0/1 ? f to hs ?? 4.0v v dd 5.5v 12 mhz 2.7v v dd 4.0v 8mhz ls ?? 2.7v v dd 5.5v 4mhz pclbuz0 pclbuz1 ? f pcl hs ?? 4.0v v dd 5.5v 16 mhz 2.7v v dd 4.0v 8mhz ls ?? 2.7v v dd 5.5v 4mhz ???? t inth t intl intp0 intp5 2.7v v dd 5.5v 1 s reset ??? t rsl 10 s
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 763 2014.10.31 ??????? t cy vs v dd hs ?? 1.0 0.1 0 10 1.0 2.0 3.0 4.0 5.0 6.0 5.5 2.7 0.01 0.04167 0.05 t yc ]s[ + $d+ _ v dd [v]
<k ey?p?eyf?95 <js,x?? 7?4/?,x?? ey?p?e2?43js,x??
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 764 2014.10.31 t cy vs v dd ls ?? ac ? ?????? 1.0 0.1 0 10 1.0 2.0 3.0 4.0 5.0 5.5 0.01 t yc ]s[ + $d+ _ v dd [v] 2.7 6.0 0.125
<k ey?p?eyf?95 <js,x?? 7?4/?,x?? ey?p?e2?43js,x?? v ih /v oh v il /v ol #g&? v ih /v oh v il /v ol exclk 1/f ex t exl t exh
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 765 2014.10.31 ti/to ? ti00?ti01?ti03 t til t tih to00 ? to01 ? to03 ? trjio0?trjo0 ? trdioa1?trdiob0? trdiob1?trdioc0? trdioc1?trdiod0? trdiod1 1/f to t tjil trjio t tjih t tdil trdioa1 ? trdiob0 ? trdiob1 ? trdioc0 ? trdioc1 ? trdiod0 ? trdiod1 t tdih t tdsil intp0
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 766 2014.10.31 ? reset ? intp0intp5 t intl t inth reset t rsl
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 767 2014.10.31 28.6 ac ? 28.6.1 ? (1) ?? uart ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v uart ??? ??? uart ?? ??? ? 1. snooze ????? 4800bps ? frqsel4 ? ?1? ?? snooze ?? 2. cpu/ ?? f clk ?? hs ?? 24mhz 2.7v v dd 5.5v ls ?? 8mhz 2.7v v dd 5.5v ? ????? g pimg ????? g pomg rxdq ???? ? txdq ????? ? 1. q uart ? q=0 1 g pim pom ? g=0 5 2. f mck ??? ???? mn smrmn cksmn ??? m ?? n ? mn=00 03 ? hs ?? ls ?? min. max. min. max. ? 1 2.7v v dd 5.5v f mck /6 f mck /6 bps ?? f mck =f clk ? 2 4.0 1.3 mbps v ih /v oh v il /v ol #g&? v ih /v oh v il /v ol R7F0C015 ? r7f0c016 txdq rxdq rx tx * a?? "?(m)[?a?a?a p?"!? 1?e?e)[ txdq rxdq
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 768 2014.10.31 (2) ?? csi ???? sckp?? ???? csi00 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? 1. dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ?? ? sckp ? 2. dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ?? ? sckp ? 3. c sckp sop ???? ? ????? g pimg ????? g pomg sip ???? ? sop ? sckp ????? ? 1. ???? csi00 i/o ?? 2. p csi ? p=00 m ? m=0 n ? n=0 g pim pom ? g=1 3. f mck ??? ???? mn smrmn cksmn ??? m?? n ? mn=00 ? hs ?? ls ?? min. max. min. max. sckp ? t kcy1 t kcy1 2/f clk 2.7v v dd 5.5v 83.3 250 ns sckp ? ? t kh1 t kl1 4.0v v dd 5.5v t kcy1 /2?7 t kcy1 /2?50 ns 2.7v v dd 5.5v t kcy1 /2?10 t kcy1 /2?50 ns sip ?? sckp ? 1 t sik1 4.0v v dd 5.5v 23 110 ns 2.7v v dd 5.5v 33 110 ns sip ? sckp ? 1 t ksi1 2.7v v dd 5.5v 10 10 ns sckp sop ?? ? 2 t kso1 c=20pf ? 3 10 10 ns
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 769 2014.10.31 (3) ?? csi ???? sckp?? ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? 1. dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ?? ? sckp ? 2. dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ?? ? sckp ? 3. c sckp sop ???? ? ????? g pimg ????? g pomg sip ???? ? sop ? sckp ????? ? 1. p csi ? p=00 m ? m=0 n ? n=0 g pim pom ? g=3 5 2. f mck ??? ???? mn smrmn cksmn ??? m?? n ? mn=00 ? hs ?? ls ?? min. max. min. max. sckp ? t kcy1 t kcy1 4/f clk 2.7v v dd 5.5v 167 500 ns sckp ? ? t kh1 t kl1 4.0v v dd 5.5v t kcy1 /2?12 t kcy1 /2?50 ns 2.7v v dd 5.5v t kcy1 /2?18 t kcy1 /2?50 ns sip ?? sckp ? 1 t sik1 4.0v v dd 5.5v 44 110 ns 2.7v v dd 5.5v 44 110 ns sip ? sckp ? 1 t ksi1 2.7v v dd 5.5v 19 19 ns sckp sop ?? ? 2 t kso1 2.7v v dd 5.5v c=30pf ? 3 25 25 ns
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 770 2014.10.31 (4) ?? csi ???? sckp?? ??? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? 1. dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ?? ? sckp ? 2. dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ?? ? sckp ? 3. c sop ???? 4. snooze ???? max. 1mbps ? ????? g pimg ????? g pomg sip ? sckp ?? ttl ?? sop ????? ? 1. p csi ? p=00 m ? m=0 n ? n=0 g pim pom ? g=3 5 2. f mck ??? ???? mn smrmn cksmn ??? m?? n ? mn=00 ? hs ?? ls ?? min. max. min. max. sckp ? ? 4 t kcy2 4.0v v dd 5.5v 20mhz f mck 8/f mck ?n s f mck 20mhz 6/f mck 6/f mck ns 2.7v v dd 5.5v 16mhz f mck 8/f mck ?n s f mck 16mhz 6/f mck 6/f mck ns sckp ? ? t kh2 t kl2 4.0v v dd 5.5v t kcy2 /2?7 t kcy2 /2?7 ns 2.7v v dd 5.5 t kcy2 /2?8 t kcy2 /2?8 ns sip ?? sckp ? 1 t sik2 2.7v v dd 5.5v 1/f mck +20 1/f mck +30 ns sip ? sckp ? 1 t ksi2 2.7v v dd 5.5v 1/f mck +31 1/f mck +31 ns sckp sop ?? ? 2 t kso2 c=30pf ? 3 2.7v v dd 5.5v 2/f mck +44 2/f mck +110 ns ssi00 ? ? t ssik dapmn=0 2.7v v dd 5.5v 120 120 ns dapmn=1 2.7v v dd 5.5v 1/f mck +120 1/f mck +120 ns ssi00 ? t kssi dapmn=0 2.7v v dd 5.5v 1/f mck +120 1/f mck +120 ns dapmn=1 2.7v v dd 5.5v 120 120 ns
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 771 2014.10.31 csi ??? ??? csi ??? ??? ?? csi00 ?? ? 1. p csi ? p=00 2. m ??n ? mn=00 R7F0C015 ? r7f0c016 sckp sop sck si * a?? sip so R7F0C015 ? r7f0c016 sck00 so00 sck si si00 so ssi00 ss0 * a??
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 772 2014.10.31 csi ???? ??? dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 csi ???? ??? dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ? 1. p csi ? p=00 2. m ??n ? mn=00 sip sop t kcy1, 2 t kl1, 2 t kh1, 2 t sik1, 2 t ksi1, 2 t kso1, 2 sckp ssi00 ( ?l$bcsi00) t ssik t kssi eg9db eg?db sip sop t kcy1, 2 t kh1, 2 t kl1, 2 t sik1, 2 t ksi1, 2 t kso1, 2 sckp ssi00 ( ?l$bcsi00) t ssik t kssi eg9db eg?db
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 773 2014.10.31 (5) ?? i 2 c ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? 1. ??? f mck /4 2. f mck ??? sclr=?l? sclr=?h? ??? ? hs ?? ls ?? min. max. min. max. sclr ? ? f scl 2.7v v dd 5.5v c b =50pf r b =2.7k 1000 ? 1 400 ? 1 khz 2.7v v dd 5.5v c b =100pf r b =3k 400 ? 1 400 ? 1 khz sclr=?l? ? t low 2.7v v dd 5.5v c b =50pf r b =2.7k 475 1150 ns 2.7v v dd 5.5v c b =100pf r b =3k 1150 1150 ns sclr=?h? ? t high 2.7v v dd 5.5v c b =50pf r b =2.7k 475 1150 ns 2.7v v dd 5.5v c b =100pf r b =3k 1150 1150 ns ?? ? t su dat 2.7v v dd 5.5v c b =50pf r b =2.7k 1/f mck +85 ? 2 1/f mck +145 ? 2 ns 2.7v v dd 5.5v c b =100pf r b =3k 1/f mck +145 ? 2 1/f mck +145 ? 2 ns ?? ? t hd dat 2.7v v dd 5.5v c b =50pf r b =2.7k 03050305ns 2.7v v dd 5.5v c b =100pf r b =3k 03550355ns
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 774 2014.10.31 i 2 c ??? ??? i 2 c ???? ??? ? ????? g pimg ????? h pomh sdar ???? n ? v dd ???? sclr ????? ? 1. r b [ ] ? sdar?c b [f] ? sclr sdar ??? 2. r iic ? r=00 g pim ? g=3 5 h pom ? h=3 5 3. f mck ??? smrmn ? cksmn ??? m ? m=0 n ? n=0 mn=00 R7F0C015 ? r7f0c016 sdar sclr sda scl * a?? v dd r b sdar t low t high t hd : dat sclr t su : dat 1/f scl
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 775 2014.10.31 (6) ? 2.5v 3v ? uart ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v (1/2) ? 1. snooze ????? 4800bps ? frqsel4 ? ?1? ?? snooze ?? 2. v dd v b ? 3. cpu/ ?? f clk ?? hs ?? 24mhz 2.7v v dd 5.5v ls ?? 8mhz 2.7v v dd 5.5v ? ????? g pimg ????? g pomg rxdq ?? ttl ? ? txdq ?? n ? v dd ??? v ih v il ?? ttl ?? dc ? ? 1. v b [v] ???? 2. q uart ? q=0 1 g pim pom ? g=0 5 3. f mck ??? ???? mn smrmn cksmn ??? m ?? n ? mn=00 03 4. ? uart ?????? ac v ih v il ??? 4.0v v dd 5.5v 2.7v v b 4.0v v ih =2.2v v il =0.8v 2.7v v dd 4.0v 2.3v v b 2.7v v ih =2.0v v il =0.5v 2.7v v dd 3.3v 1.6v v b 2.0v v ih =1.50v v il =0.32v ? hs ?? ls ?? min. max. min. max. 4.0v v dd 5.5v 2.7v v b 4.0v f mck /6 ? 1 f mck /6 ? 1 bps ?? f mck =f clk ? 3 4.0 1.3 mbps 2.7v v dd 4.0v 2.3v v b 2.7v f mck /6 ? 1 f mck /6 ? 1 bps ?? f mck =f clk ? 3 4.0 1.3 mbps 2.7v v dd 3.3v 1.6v v b 2.0v f mck /6 ? 1 2 f mck /6 ? 1 bps ?? f mck =f clk ? 3 4.0 1.3 mbps
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 776 2014.10.31 (6) ? 2.5v 3v ? uart ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v (2/2) ? 1. f mck /6 ?????? 4.0v v dd 5.5v 2.7v v b 4.0v ???? ?????? 2. ???????? 1 ? 3. f mck /6 ?????? 2.7v v dd 4.0v 2.3v v b 2.7v ???? ?????? 4. ???????? 3 ? 5. v dd v b ? ? hs ?? ls ?? min. max. min. max. 4.0v v dd 5.5v 2.7v v b 4.0v ? 1 ? 1 bps ?? c b =50pf r b =1.4k v b =2.7v 2.8 ? 2 2.8 ? 2 mbps 2.7v v dd 4.0v 2.3v v b 2.7v ? 3 ? 3 bps ?? c b =50pf r b =2.7k v b =2.3v 1.2 ? 4 1.2 ? 4 mbps 2.7v v dd 3.3v 1.6v v b 2.0v ? 5 6 ? 5 6 bps ?? c b =50pf r b =5.5k v b =1.6v 0.43 ? 7 0.43 ? 7 mbps = 1 [bps] {?c b r b ln(1? 2.2 )} 3 v b 1 ?{?c b r b ln(1? 2.2 )} ? = 2 v b 100 [%] ( 1 ) = 1 [bps] {?c b r b ln(1? 2.0 )} 3 v b 1 ? {?c b r b ln(1? 2.0 )} ? = 2 v b 100 [%] ( 1 )
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 777 2014.10.31 ? 6. f mck /6 ?????? 2.7v v dd 3.3v 1.6v v b 2.0v ???? ?????? 7. ???????? 6 ? ? ????? g pimg ????? g pomg rxdq ?? ttl ? ? txdq ?? n ? v dd ??? v ih v il ?? ttl ?? dc ? ? 1. r b [ ] ? txdq ?c b [f] ? txdq ???v b [v] ???? 2. q uart ? q=0 1 g pim pom ? g=0 5 3. f mck ??? ???? mn smrmn cksmn ??? m ?? n ? mn=00 03 4. ? uart ?????? ac v ih v il ??? 4.0v v dd 5.5v 2.7v v b 4.0v v ih =2.2v v il =0.8v 2.7v v dd 4.0v 2.3v v b 2.7v v ih =2.0v v il =0.5v 2.7v v dd 3.3v 1.6v v b 2.0v v ih =1.50v v il =0.32v uart ??? ??? = 1 [bps] {?c b r b ln(1? 1.5 )} 3 v b 1 ? {?c b r b ln(1? 1.5 )} ? = 2 v b 100 [%] ( 1 ) R7F0C015 ? r7f0c016 txdq rxdq rx tx * a?? v b r b
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 778 2014.10.31 uart ?? ??? ? 1. r b [ ] ? txdq ?v b [v] ???? 2. q uart ? q=0 1 g pim pom ? g=0 5 "?(m)[?a?a?a p?"!? 1?e?e)[ "?(m)[?a?a?a p?!? "!? 1?e?e)[ txdq rxdq
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 779 2014.10.31 (7) ? 2.5v 3v ? csi ???? sckp?? ??? csi00 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? hs ?? ls ?? min. max. min. max. sckp ? t kcy1 t kcy1 2/f clk 4.0v v dd 5.5v 2.7v v b 4.0v c b =20pf r b =1.4k 200 1150 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =20pf r b =2.7k 300 1150 ns sckp ?? t kh1 4.0v v dd 5.5v 2.7v v b 4.0v c b =20pf r b =1.4k t kcy1 /2?50 t kcy1 /2?50 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =20pf r b =2.7k t kcy1 /2?120 t kcy1 /2?120 ns sckp ?? t kl1 4.0v v dd 5.5v 2.7v v b 4.0v c b =20pf r b =1.4k t kcy1 /2?7 t kcy1 /2?50 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =20pf r b =2.7k t kcy1 /2?10 t kcy1 /2?50 ns sip ?? sckp ? 1 t sik1 4.0v v dd 5.5v 2.7v v b 4.0v c b =20pf r b =1.4k 58 479 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =20pf r b =2.7k 121 479 ns sip ? sckp ? 1 t ksi1 4.0v v dd 5.5v 2.7v v b 4.0v c b =20pf r b =1.4k 10 10 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =20pf r b =2.7k 10 10 ns sckp sop ?? ? 1 t kso1 4.0v v dd 5.5v 2.7v v b 4.0v c b =20pf r b =1.4k 60 60 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =20pf r b =2.7k 130 130 ns sip ?? sckp ? 2 t sik1 4.0v v dd 5.5v 2.7v v b 4.0v c b =20pf r b =1.4k 23 110 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =20pf r b =2.7k 33 110 ns sip ? sckp ? 2 t ksi1 4.0v v dd 5.5v 2.7v v b 4.0v c b =20pf r b =1.4k 10 10 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =20pf r b =2.7k 10 10 ns sckp sop ?? ? 2 t kso1 4.0v v dd 5.5v 2.7v v b 4.0v c b =20pf r b =1.4k 10 10 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =20pf r b =2.7k 10 10 ns
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 780 2014.10.31 csi ??? ??? ? 1. dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 2. dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ? ????? g pimg ????? g pomg sip ?? ttl ? sop ? sckp ?? n ? v dd ??? v ih v il ?? ttl ? ? dc ? ? 1. r b [ ] ? sckp sop ? c b [f] ? sckp sop ??? v b [v] ???? 2. p csi ? p=00 m ? m=0 n ? n=0 g pim pom ? g=3 5 3. ? csi ?????? ac v ih v il ??? 4.0v v dd 5.5v 2.7v v b 4.0v v ih =2.2v v il =0.8v 2.7v v dd 4.0v 2.3v v b 2.7v v ih =2.0v v il =0.5v 4. ???? csi00 i/o ?? v b r b sckp sop sck si * a?? sip so v b r b ?{ R7F0C015 ? r7f0c016
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 781 2014.10.31 (8) ? 2.5v 3v ? f mck /4 csi ???? sckp?? ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v (1/2) ? 1. ????? g pimg ????? g pomg sip ?? ttl ? ? sop ? sckp ?? n ? v dd ??? v ih v il ?? ttl ? ? dc ? 2. v dd v b ? ? 1. r b [ ] ?? sckp sop ? c b [f] ?? sckp sop ??? v b [v] ???? 2. p csi ? p=00 m ? m=0 n ? n=0 g pim pom ? g=3 5 3. ? csi ?????? ac v ih v il ??? 4.0v v dd 5.5v 2.7v v b 4.0v v ih =2.2v v il =0.8v 2.7v v dd 4.0v 2.3v v b 2.7v v ih =2.0v v il =0.5v ? hs ?? ls ?? min. max. min. max. sckp ? t kcy1 t kcy1 4/f clk 4.0v v dd 5.5v 2.7v v b 4.0v c b =30pf r b =1.4k 300 1150 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =30pf r b =2.7k 500 1150 ns 2.7v v dd 3.3v 1.6v v b 2.0v c b =30pf r b =5.5k 1150 1150 ns sckp ?? t kh1 4.0v v dd 5.5v 2.7v v b 4.0v c b =30pf r b =1.4k t kcy1 /2?75 t kcy1 /2?75 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =30pf r b =2.7k t kcy1 /2?170 t kcy1 /2?170 ns 2.7v v dd 3.3v 1.6v v b 2.0v c b =30pf r b =5.5k t kcy1 /2?458 t kcy1 /2?458 ns sckp ?? t kl1 4.0v v dd 5.5v 2.7v v b 4.0v c b =30pf r b =1.4k t kcy1 /2?12 t kcy1 /2?50 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =30pf r b =2.7k t kcy1 /2?18 t kcy1 /2?50 ns 2.7v v dd 3.3v 1.6v v b 2.0v c b =30pf r b =5.5k t kcy1 /2?50 t kcy1 /2?50 ns
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 782 2014.10.31 (8) ? 2.5v 3v ? f mck /4 csi ???? sckp?? ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v (2/2) ? hs ?? ls ?? min. max. min. max. sip ?? sckp ? 1 t sik1 4.0v v dd 5.5v 2.7v v b 4.0v c b =30pf r b =1.4k 81 479 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =30pf r b =2.7k 177 479 ns 2.7v v dd 3.3v 1.6v v b 2.0v c b =30pf r b =5.5k 479 479 ns sip ? sckp ? 1 t ksi1 4.0v v dd 5.5v 2.7v v b 4.0v c b =30pf r b =1.4k 19 19 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =30pf r b =2.7k 19 19 ns 2.7v v dd 3.3v 1.6v v b 2.0v c b =30pf r b =5.5k 19 19 ns sckp sop ?? ? 1 t kso1 4.0v v dd 5.5v 2.7v v b 4.0v c b =30pf r b =1.4k 100 100 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =30pf r b =2.7k 195 195 ns 2.7v v dd 3.3v 1.6v v b 2.0v c b =30pf r b =5.5k 483 483 ns sip ?? sckp ? 2 t sik1 4.0v v dd 5.5v 2.7v v b 4.0v c b =30pf r b =1.4k 44 110 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =30pf r b =2.7k 44 110 ns 2.7v v dd 3.3v 1.6v v b 2.0v c b =30pf r b =5.5k 110 110 ns sip ? sckp ? 2 t ksi1 4.0v v dd 5.5v 2.7v v b 4.0v c b =30pf r b =1.4k 19 19 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =30pf r b =2.7k 19 19 ns 2.7v v dd 3.3v 1.6v v b 2.0v c b =30pf r b =5.5k 19 19 ns sckp sop ?? ? 2 t kso1 4.0v v dd 5.5v 2.7v v b 4.0v c b =30pf r b =1.4k 25 25 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =30pf r b =2.7k 25 25 ns 2.7v v dd 3.3v 1.6v v b 2.0v c b =30pf r b =5.5k 25 25 ns
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 783 2014.10.31 csi ??? ??? ? 1. dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 2. dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ? 1. ????? g pimg ????? g pomg sip ?? ttl ? ? sop ? sckp ?? n ? v dd ??? v ih v il ?? ttl ? ? dc ? 2. v dd v b ? ? 1. r b [ ] ?? sckp sop ? c b [f] ?? sckp sop ??? v b [v] ???? 2. p csi ? p=00 m ? m=0 n ? n=0 g pim pom ? g=3 5 3. ? csi ?????? ac v ih v il ??? 4.0v v dd 5.5v 2.7v v b 4.0v v ih =2.2v v il =0.8v 2.7v v dd 4.0v 2.3v v b 2.7v v ih =2.0v v il =0.5v v b r b sckp sop sck si * a?? sip so v b r b ?{ R7F0C015 ? r7f0c016
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 784 2014.10.31 csi ?????? ??? dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 csi ?????? ??? dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ? p csi ? p=00 m ? m=0 n ? n=0 g pim pom ? g=3 5 sip sop t kcy1 t kl1 t kh1 t sik1 t ksi1 eg9db t kso1 eg?db sckp sip sop t kcy1 t kl1 t kh1 t sik1 t ksi1 eg9db t kso1 eg?db sckp
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 785 2014.10.31 (9) ? 2.5v 3v ? csi ???? sckp?? ??? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? hs ?? ls ?? min. max. min. max. sckp ? ? 1 t kcy2 4.0v v dd 5.5v 2.7v v b 4.0v 20mhz f mck 24mhz 12/f mck ?n s 8mhz f mck 20mhz 10/f mck ?n s 4mhz f mck 8mhz 8/f mck 16/f mck ns f mck 4mhz 6/f mck 10/f mck ns 2.7v v dd 4.0v 2.3v v b 2.7v 20mhz f mck 24mhz 16/f mck ?n s 16mhz f mck 20mhz 14/f mck ?n s 8mhz f mck 16mhz 12/f mck ?n s 4mhz f mck 8mhz 8/f mck 16/f mck ns f mck 4mhz 6/f mck 10/f mck ns 2.7v v dd 3.3v 1.6v v b 2.0v ? 2 20mhz f mck 24mhz 36/f mck ?n s 16mhz f mck 20mhz 32/f mck ?n s 8mhz f mck 16mhz 26/f mck ?n s 4mhz f mck 8mhz 16/f mck 16/f mck ns f mck 4mhz 10/f mck 10/f mck ns sckp ?? ? t kh2 t kl2 4.0v v dd 5.5v 2.7v v b 4.0v t kcy2 /2 ?12 t kcy2 /2 ?50 ns 2.7v v dd 4.0v 2.3v v b 2.7v t kcy2 /2 ?18 t kcy2 /2 ?50 ns 2.7v v dd 3.3v 1.6v v b 2.0v ? 2 t kcy2 /2 ?50 t kcy2 /2 ?50 ns sip ?? sckp ? 3 t sik2 2.7v v dd 5.5v 1/f mck +20 1/f mck +30 ns sip ? sckp ? 3 t ksi2 1/f mck +31 1/f mck +31 ns sckp sop ?? ? 4 t kso2 4.0v v dd 5.5v 2.7v v b 4.0v c b =30pf r b =1.4k 2/f mck +120 2/f mck +573 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =30pf r b =2.7k 2/f mck +214 2/f mck +573 ns 2.7v v dd 3.3v 1.6v v b 2.0v ? 2 c b =30pf r b =5.5k 2/f mck +573 2/f mck +573 ns
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 786 2014.10.31 csi ??? ??? ? 1. snooze ???? max. 1mbps 2. v dd v b ? 3. dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ?? ? sckp ? 4. dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ?? ? sckp ? ? ????? g pimg ????? g pomg sip ? sckp ?? ttl ?? sop ?? n ? v dd ??? v ih v il ?? ttl ? ? dc ? ? 1. r b [ ] ? sop ?c b [f] ? sop ???v b [v] ???? 2. p csi ? p=00 m ? m=0 n ? n=0 g pim pom ? g=3 5 3. f mck ??? ???? mn smrmn cksmn ??? m?? n ? mn=00 4. ? csi ?????? ac v ih v il ??? 4.0v v dd 5.5v 2.7v v b 4.0v v ih =2.2v v il =0.8v 2.7v v dd 4.0v 2.3v v b 2.7v v ih =2.0v v il =0.5v 5. ??????????? sckp sop sck si * a?? sip so v b r b ?2 R7F0C015 ? r7f0c016
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 787 2014.10.31 csi ???????? ??? dapmn=0 ckpmn=0 dapmn=1 ckpmn=1 csi ???????? ??? dapmn=0 ckpmn=1 dapmn=1 ckpmn=0 ? 1. p csi ? p=00 m ? m=0 n ? n=0 g pim pom ? g=3 5 2. ??????????? sip sop t kcy2 t kl2 t kh2 t sik2 t ksi2 eg9db t kso2 eg?db sckp sip sop t kcy2 t kl2 t kh2 t sik2 t ksi2 eg9db t kso2 eg?db sckp
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 788 2014.10.31 (10) ? 2.5v 3v ? i 2 c ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v (1/2) ? hs ?? ls ?? min. max. min. max. sclr ? ? f scl 4.0v v dd 5.5v 2.7v v b 4.0v c b =50pf r b =2.7k 1000 ? 1 300 ? 1 khz 2.7v v dd 4.0v 2.3v v b 2.7v c b =50pf r b =2.7k 1000 ? 1 300 ? 1 khz 4.0v v dd 5.5v 2.7v v b 4.0v c b =100pf r b =2.8k 400 ? 1 300 ? 1 khz 2.7v v dd 4.0v 2.3v v b 2.7v c b =100pf r b =2.7k 400 ? 1 300 ? 1 khz 2.7v v dd 3.3v 1.6v v b 2.0v ? 2 c b =100pf r b =5.5k 300 ? 1 300 ? 1 khz sclr=?l? ? t low 4.0v v dd 5.5v 2.7v v b 4.0v c b =50pf r b =2.7k 475 1550 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =50pf r b =2.7k 475 1550 ns 4.0v v dd 5.5v 2.7v v b 4.0v c b =100pf r b =2.8k 1150 1550 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =100pf r b =2.7k 1150 1550 ns 2.7v v dd 3.3v 1.6v v b 2.0v ? 2 c b =100pf r b =5.5k 1550 1550 ns sclr=?h? ? t high 4.0v v dd 5.5v 2.7v v b 4.0v c b =50pf r b =2.7k 245 610 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =50pf r b =2.7k 200 610 ns 4.0v v dd 5.5v 2.7v v b 4.0v c b =100pf r b =2.8k 675 610 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =100pf r b =2.7k 600 610 ns 2.7v v dd 3.3v 1.6v v b 2.0v ? 2 c b =100pf r b =5.5k 610 610 ns
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 789 2014.10.31 (10) ? 2.5v 3v ? i 2 c ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v (2/2) ? 1. ??? f mck /4 2. v dd v b ? 3. f mck ??? sclr=?l? sclr=?h? ??? ? ????? g pimg ????? g pomg sdar ?? ttl ? n ? v dd ???? sclr ?? n ? v dd ??? v ih v il ?? ttl ?? dc ? ? hs ?? ls ?? min. max. min. max. ?? ? t su dat 4.0v v dd 5.5v 2.7v v b 4.0v c b =50pf r b =2.7k 1/f mck +135 ? 3 1/f mck +190 ? 3 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =50pf r b =2.7k 1/f mck +135 ? 3 1/f mck +190 ? 3 ns 4.0v v dd 5.5v 2.7v v b 4.0v c b =100pf r b =2.8k 1/f mck +190 ? 3 1/f mck +190 ? 3 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =100pf r b =2.7k 1/f mck +190 ? 3 1/f mck +190 ? 3 ns 2.7v v dd 3.3v 1.6v v b 2.0v ? 2 c b =100pf r b =5.5k 1/f mck +190 ? 3 1/f mck +190 ? 3 ns ?? ? t hd dat 4.0v v dd 5.5v 2.7v v b 4.0v c b =50pf r b =2.7k 0 305 0 305 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =50pf r b =2.7k 0 305 0 305 ns 4.0v v dd 5.5v 2.7v v b 4.0v c b =100pf r b =2.8k 0 355 0 355 ns 2.7v v dd 4.0v 2.3v v b 2.7v c b =100pf r b =2.7k 0 355 0 355 ns 2.7v v dd 3.3v 1.6v v b 2.0v ? 2 c b =100pf r b =5.5k 0 405 0 405 ns
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 790 2014.10.31 i 2 c ??? ??? i 2 c ??? ??? ? 1. r b [ ] ? sdar sclr ? c b [f] ?? sdar sclr ??? v b [v] ???? 2. r iic ? r=00 g pim pom ? g=3 5 3. f mck ??? smrmn ? cksmn ??? m ? m=0 n ? n=0 mn=00 4. ?? i 2 c ?????? ac v ih v il ??? 4.0v v dd 5.5v 2.7v v b 4.0v v ih =2.2v v il =0.8v 2.7v v dd 4.0v 2.3v v b 2.7v v ih =2.0v v il =0.5v sdar sclr sda scl * a?? v b r b v b r b R7F0C015 ? r7f0c016 sdar t low t high t hd : dat sclr t su : dat 1/f scl
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 791 2014.10.31 28.7 ? 28.7.1 a/d ? a/d ?? (1) ? av ref + =av refp /ani0 adrefp1=0 adrefp0=1 av ref ? =av refm /ani1 adrefm=1 ani ? ani2 ani3 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ?? + =av refp ?? ? =av refm =0v ? 1. 1/2 lsb 2. ???? %fsr ? ?? ? ?? (+)=av refp ?? (?)=av refm ?? (+)=v dd ?? (?)=v ss ?? (+)=v bgr ?? (?)=av refm ani0 ani3 28.7.1(1) 28.7.1(3) 28.7.1(4) ani16 ani17 ani19 28.7.1(2) ??? ??? 28.7.1(1) ? ? min. typ. max. ? r es 81 0b i t ? ? 1 2 ainl 10 ? av refp =v dd 2.7v v dd 5.5v 1.2 3.5 lsb ?? t conv 10 ? av refp =v dd 3.6v v dd 5.5v 2.125 39 s 2.7v v dd 5.5v 3.1875 39 s ? ? 1 2 ezs 10 ? av refp =v dd 2.7v v dd 5.5v 0.25 %fsr ? ? 1 2 efs 10 ? av refp =v dd 2.7v v dd 5.5v 0.25 %fsr ? 1 ile 10 ? av refp =v dd 2.7v v dd 5.5v 2.5 lsb ? ? 1 dle 10 ? av refp =v dd 2.7v v dd 5.5v 1.5 lsb ?? + av refp 2.7 v dd v ?? v ain 0a v refp v v bgr ???? 2.7v v dd 5.5v hs ?? 1.38 1.45 1.5 v
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 792 2014.10.31 (2) ? av ref + =av refp /ani0 adrefp1=0 adrefp0=1 av ref ? =av refm /ani1 adrefm=1 ani ? ani16 ani17 ani19 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ?? + =av refp ? ? ? =av refm =0v ? 1. 1/2 lsb 2. ???? %fsr ? (3) ? av ref + =v dd adrefp1=0 adrefp0=0 av ref ? =v ss adrefm=0 ani ? ani0 ani3 ani16 ani17 ani19 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ?? + =v dd ?? ? =v ss ? 1. 1/2 lsb 2. ???? %fsr ? ? min. typ. max. ? r es 81 0b i t ? ? 1 2 ainl 10 ? av refp =v dd 2.7v v dd 5.5v 1.2 5.0 lsb ?? t conv 10 ? av refp =v dd 3.6v v dd 5.5v 2.125 39 s 2.7v v dd 5.5v 3.1875 39 s ? ? 1 2 ezs 10 ? av refp =v dd 2.7v v dd 5.5v 0.35 %fsr ? ? 1 2 efs 10 ? av refp =v dd 2.7v v dd 5.5v 0.35 %fsr ? 1 ile 10 ? av refp =v dd 2.7v v dd 5.5v 3.5 lsb ? ? 1 dle 10 ? av refp =v dd 2.7v v dd 5.5v 2.0 lsb ?? + av refp 2.7 v dd v ?? v ain 0a v refp v v bgr ???? 2.7v v dd 5.5v hs ?? 1.38 1.45 1.5 v ? min. typ. max. ? r es 81 0b i t ? ? 1 2 ainl 10 ? 2.7v v dd 5.5v 1.2 7.0 lsb ?? t conv 10 ? 3.6v v dd 5.5v 2.125 39 s 2.7v v dd 5.5v 3.1875 39 s ? ? 1 2 ezs 10 ? 2.7v v dd 5.5v 0.60 %fsr ? ? 1 2 efs 10 ? 2.7v v dd 5.5v 0.60 %fsr ? 1 ile 10 ? 2.7v v dd 5.5v 4.0 lsb ? ? 1 dle 10 ? 2.7v v dd 5.5v 2.0 lsb ?? v ain ani0 ani3 0v dd v ani16 ani17 ani19 0v dd v v bgr ???? 2.7v v dd 5.5v hs ?? 1.38 1.45 1.5 v
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 793 2014.10.31 (4) ? av ref + = ??? adrefp1=1 adrefp0=0 av ref ? =av refm /ani1 adrefm=1 ani ? ani0 ani3 ani16 ani17 ani19 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ?? + =v bgr ?? ? =av refm =0v hs ?? ? 1. 1/2 lsb 2. ???? %fsr ? ? min. typ. max. ? r es 8b i t ?? t conv 8 ? 2.7v v dd 5.5v 17 39 s ? ? 1 2 ezs 8 ? 2.7v v dd 5.5v 0.60 %fsr ? 1 ile 8 ? 2.7v v dd 5.5v 2.0 lsb ? ? 1 dle 8 ? 2.7v v dd 5.5v 1.0 lsb ?? + v bgr 1.38 1.45 1.5 v ?? v ain 0v bgr v
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 794 2014.10.31 28.7.2 ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v hs ?? 28.7.3 ? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? 1. ??? cmpnen=0 1 ?? dc/ac ?????? 2. ??? cvrem =1 m=0 1 ????? cnoe =1 n= 0 1 ? min. typ. max. ??? v tmps25 ads ? =80h t a =+25 c 1.05 v ?? v const ads ? =81h 1.38 1.45 1.5 v ?? f vtmps ?????? ?3.6 mv/ c ??? t amp 5 s ? min. typ. max. ??? v iocmp 5 40 mv ? v icmp 0v dd v ???? v iref cmrvm ?? m=0 1 7fh 80h 2 lsb 1 lsb ?? t cr t cf 100mv 70 150 ns ?? ? 1 t cmp cmpnen=0 1 pvddad=3.3 5.5v 1 s pvddad=2.7 3.3v 3 ????? t vr cvre 0 1 ? 2 20 s eg9+ _v in eg?+ _v o +100 mv ?100 mv !ew < ??+ _ t cr t cf
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 795 2014.10.31 28.7.4 ?? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? ? pga pgaen=1 ? pga dc/ac ?????? 28.7.5 por t a =?40 +85 c v ss =0v ? v dd v pdr ? por ??? stop ????????? csc bit0 hiostop bit7 mstop ?????? f main ?? v dd 0.7v v por ?? por ?? ? min. typ. max. ??? v iopga 5 10 mv ? v ipga 00 . 9 v dd / ? v ? v ohpga 0.9 v dd v v olpga 0.1 v dd ? ? 4 8 1 % 16 1.5 32 2 ? sr rpga 4.0v v dd 5.5v 1.4 v/ s 2.7v v dd 4.0v 0.5 sr fpga ? 4.0v v dd 5.5v 1.4 2.7v v dd 4.0v 0.5 ??? ? t pga 4 8 5 s 16 32 10 ? min. typ. max. ? v por ??? 1.47 1.51 1.55 v v pdr ???? 1.46 1.50 1.54 v ? t pw 300 s t pw v por v pdr 5 0.7v eg9+ _ (v dd )
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 796 2014.10.31 28.7.6 lvd t a =?40 +85 c v pdr v dd 5.5v v ss =0v ? v lvd (n?1) v lvdn n=1?5 ??? lvd ? t a =?40 +85 c v pdr v dd 5.5v v ss =0v 28.7.7 ?? t a =?40 +85 c v ss =0v ? v dd ? ?28.5 ac ? ????? lvd ???? ? min. typ. max. ? ??? v lvd0 ??? 3.98 4.06 4.14 v ???? 3.90 3.98 4.06 v v lvd1 ??? 3.68 3.75 3.82 v ???? 3.60 3.67 3.74 v v lvd2 ??? 3.07 3.13 3.19 v ???? 3.00 3.06 3.12 v v lvd3 ??? 2.96 3.02 3.08 v ???? 2.90 2.96 3.02 v v lvd4 ??? 2.86 2.92 2.97 v ???? 2.80 2.86 2.91 v v lvd5 ??? 2.76 2.81 2.87 v ???? 2.70 2.75 2.81 v t lw 300 s ? t ld 300 s ? min. typ. max. & ?? v lvd5 v poc2 v poc1 v poc0 =0 1 1 ?? 2.70 2.75 2.81 v v lvd4 lvis1 lvis0=1 0 +0.1v ? 2.86 2.92 2.97 v ??? 2.80 2.86 2.91 v v lvd3 lvis1 lvis0=0 1 +0.2v ? 2.96 3.02 3.08 v ??? 2.90 2.96 3.02 v v lvd0 lvis1 lvis0=0 0 +1.2v ? 3.98 4.06 4.14 v ??? 3.90 3.98 4.06 v ? min. typ. max. ?? s vdd 54 v/ms
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 797 2014.10.31 28.8 ram ? t a =?40 +85 c ? ? por ???? ram ?? por ??? por ? ram ? 28.9 t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? 1. 1 ?? 1 + 1 ?? 1 ??????? 2. ????? 3. ???????? ? ?????? 28.10 ?? uart t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? min. typ. max. ???? v dddr 1.46 ? 5.5 v ? min. typ. max. ???? f clk 2.7v v dd 5.5v 12 4 m h z ? ? 1 2 3 c erwr 20 t a =85c 1000 ? min. typ. max. ? 115.2k 1m bps v dd ;> stop??? y?l8 ? (?a?") stop ?? ramdb? v dddr e> ??
R7F0C01592esn r7f0c01692esn 28 r01uh0453cj0210 rev.2.10 798 2014.10.31 28.11 ???? t a =?40 +85 c 2.7v v dd 5.5v v ss =0v ? tool0 ?? ? ???? por lvd ? ? tool0 ???? ? uart ??? ? t suinit ???? 100ms ?????? t su ?? tool0 ???????? t hd ???? tool0 ???? ??? ? min. typ. max. ?????? ??? t suinit ??? por lvd ? 100 ms ? tool0 ??? ??? t su ??? por lvd ? 10 s ???? tool0 ? ?? ??? t hd ??? por lvd ? 1ms reset tool0 7 8 9 t suinit 723s+t hd ?)k t su : y
?00h? (toolrxd ? tooltxd??)
R7F0C01592esn r7f0c01692esn 29 ??? r01uh0453cj0210 rev.2.10 799 2014.10.31 29 ??? 2013 renesas electronics corporation. all rights reserved. jeita package code renesas code previous code mass(typ.)[g] p-sop28-7.5x18-1.27 prsp0028dl-a t28mr-127-paa 0.77 d a bp h 1.27 0.25 18.00 7.50 1.40 referance symbol min nom max dimension in millimeters 2.65 0.39 0.48 a 0.41 b a 2.35 c 0.31 c 0 0.70 0.30 0.38 a l  l 1 1 e p 1 e 0.25 0.43 0.25 0.24 0.26 1.00 detail of lead end d e a a a e bp c h l  lp a 1 2 1 e 3 17.80 18.20 7.70 7.30 2 2.30 2.25 3 1 8 10.10 10.30 10.50 e terminal cross section b p b 1 c c 1 114 15 28 0.10
R7F0C01592esn r7f0c01692esn ? a ?? r01uh0453cj0210 rev.2.10 800 2014.10.31 ? a ?? rev. ? ? ? 2.00 2014.09.26 ? M 2.10 2014.10.31 2 ?1.2 ??? ? ?
? R7F0C01592esn r7f0c01692esn ?? ?? publication date: rev.2.10 oct 31, 2014 published by: renesas electronics corporation
? http://www.renesas.com refer to "http://www.renesas.com/" for the latest and detailed information. renesas electronics america inc. 2801 scott boulevard santa clara, ca 95050-2549, u.s.a. tel: +1-408-588-6000, fax: +1-408-588-6130 renesas electronics canada limited 1101 nicholson road, newmarket, ontario l3y 9c3, canada tel: +1-905-898-5441, fax: +1-905-898-3220 renesas electronics europe limited dukes meadow, millboard road, bourne end, buckinghamshire, sl8 5fh, u.k tel: +44-1628-585-100, fax: +44-1628-585-900 renesas electronics europe gmbh arcadiastrasse 10, 40472 dsseldorf, germany tel: +49-211-6503-0, fax: +49-211-6503-1327 renesas electronics (china) co., ltd. room 1709, quantum plaza, no.27 zhichunlu haidian district, beijing 100191, p.r.china tel: +86-10-8235-1155, fax: +86-10-8235-7679 renesas electronics (shanghai) co., ltd. unit 301, tower a, central towers, 555 langao road, putuo district, shanghai, p. r. china 200333 tel: +86-21-2226-0888, fax: +86-21-2226-0999 renesas electronics hong kong limited unit 1601-1613, 16/f., tower 2, grand century place, 193 prince edward road west, mongkok, kowloon, hong kong tel: +852-2265-6688, fax: +852 2886-9022/9044 renesas electronics taiwan co., ltd. 13f, no. 363, fu shing north road, taipei 10543, taiwan tel: +886-2-8175-9600, fax: +886 2-8175-9670 renesas electronics singapore pte. ltd. 80 bendemeer road, unit #06-02 hyflux innovation centre, singapore 339949 tel: +65-6213-0200, fax: +65-6213-0300 renesas electronics malaysia sdn.bhd. unit 906, block b, menara amcorp, amcorp trade centre, no. 18, jln persiaran barat, 46050 petaling jaya, selangor darul ehsan, malaysia tel: +60-3-7955-9390, fax: +60-3-7955-9510 renesas electronics korea co., ltd. 12f., 234 teheran-ro, gangnam-ku, seoul, 135-920, korea tel: +82-2-558-3737, fax: +82-2-558-5141 sales offices ? 2014 renesas electronics corporation. all rights reserved. colophon 3.0
R7F0C01592esn r7f0c01692esn r01uh0453cj0210


▲Up To Search▲   

 
Price & Availability of R7F0C015

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X